annotate runtime/ftplugin/systemverilog.vim @ 25773:11b656e74444

Update runtime files Commit: https://github.com/vim/vim/commit/6c391a74fe90190796ca0b0c010112948a6e75d7 Author: Bram Moolenaar <Bram@vim.org> Date: Thu Sep 9 21:55:11 2021 +0200 Update runtime files
author Bram Moolenaar <Bram@vim.org>
date Thu, 09 Sep 2021 22:00:10 +0200
parents 840665e74421
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
5663
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
1 " Vim filetype plugin file
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
2 " Language: SystemVerilog
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
3 " Maintainer: kocha <kocha.lsifrontend@gmail.com>
24636
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
4 " Last Change: 07-May-2021
5663
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
5
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
6 if exists("b:did_ftplugin")
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
7 finish
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
8 endif
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
9
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
10 " Behaves just like Verilog
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
11 runtime! ftplugin/verilog.vim
24636
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
12
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
13 let s:cpo_save = &cpo
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
14 set cpo&vim
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
15
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
16 " Add SystemVerilog keywords for matchit plugin.
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
17 if exists("loaded_matchit")
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
18 let b:match_words =
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
19 \ '\<begin\>:\<end\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
20 \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
21 \ '\<module\>:\<endmodule\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
22 \ '\<if\>:`\@<!\<else\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
23 \ '\<function\>:\<endfunction\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
24 \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
25 \ '\<task\>:\<endtask\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
26 \ '\<specify\>:\<endspecify\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
27 \ '\<config\>:\<endconfig\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
28 \ '\<generate\>:\<endgenerate\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
29 \ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
30 \ '\<primitive\>:\<endprimitive\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
31 \ '\<table\>:\<endtable\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
32 \ '\<checker\>:\<endchecker\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
33 \ '\<class\>:\<endclass\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
34 \ '\<clocking\>:\<endclocking\>,' .
25773
11b656e74444 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 24636
diff changeset
35 \ '\<group\>:\<endgroup\>,' .
24636
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
36 \ '\<interface\>:\<endinterface\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
37 \ '\<package\>:\<endpackage\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
38 \ '\<program\>:\<endprogram\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
39 \ '\<property\>:\<endproperty\>,' .
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
40 \ '\<sequence\>:\<endsequence\>'
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
41 endif
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
42
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
43 let &cpo = s:cpo_save
840665e74421 Update runtime files
Bram Moolenaar <Bram@vim.org>
parents: 5663
diff changeset
44 unlet s:cpo_save