Mercurial > vim
comparison runtime/ftplugin/systemverilog.vim @ 25773:11b656e74444
Update runtime files
Commit: https://github.com/vim/vim/commit/6c391a74fe90190796ca0b0c010112948a6e75d7
Author: Bram Moolenaar <Bram@vim.org>
Date: Thu Sep 9 21:55:11 2021 +0200
Update runtime files
author | Bram Moolenaar <Bram@vim.org> |
---|---|
date | Thu, 09 Sep 2021 22:00:10 +0200 |
parents | 840665e74421 |
children |
comparison
equal
deleted
inserted
replaced
25772:55753c17b73d | 25773:11b656e74444 |
---|---|
30 \ '\<primitive\>:\<endprimitive\>,' . | 30 \ '\<primitive\>:\<endprimitive\>,' . |
31 \ '\<table\>:\<endtable\>,' . | 31 \ '\<table\>:\<endtable\>,' . |
32 \ '\<checker\>:\<endchecker\>,' . | 32 \ '\<checker\>:\<endchecker\>,' . |
33 \ '\<class\>:\<endclass\>,' . | 33 \ '\<class\>:\<endclass\>,' . |
34 \ '\<clocking\>:\<endclocking\>,' . | 34 \ '\<clocking\>:\<endclocking\>,' . |
35 \ '\<gruop\>:\<endgruop\>,' . | 35 \ '\<group\>:\<endgroup\>,' . |
36 \ '\<interface\>:\<endinterface\>,' . | 36 \ '\<interface\>:\<endinterface\>,' . |
37 \ '\<package\>:\<endpackage\>,' . | 37 \ '\<package\>:\<endpackage\>,' . |
38 \ '\<program\>:\<endprogram\>,' . | 38 \ '\<program\>:\<endprogram\>,' . |
39 \ '\<property\>:\<endproperty\>,' . | 39 \ '\<property\>:\<endproperty\>,' . |
40 \ '\<sequence\>:\<endsequence\>' | 40 \ '\<sequence\>:\<endsequence\>' |