annotate runtime/syntax/vera.vim @ 6999:dc1b678f0e4e v7.4.817

patch 7.4.817 Problem: Invalid memory access in file_pat_to_reg_pat(). Solution: Use vim_isspace() instead of checking for a space only. (Dominique Pelle)
author Bram Moolenaar <bram@vim.org>
date Tue, 11 Aug 2015 16:20:05 +0200
parents 5a7843c57316
children 43efa4f5a8ea
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
836
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
1 " Vim syntax file
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
2 " Language: Vera
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
3 " Maintainer: Dave Eggum (opine at bluebottle dOt com)
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
4 " Last Change: 2005 Dec 19
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
5
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
6 " NOTE: extra white space at the end of the line will be highlighted if you
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
7 " add this line to your colorscheme:
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
8
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
9 " highlight SpaceError guibg=#204050
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
10
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
11 " (change the value for guibg to any color you like)
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
12
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
13 " For version 5.x: Clear all syntax items
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
14 " For version 6.x: Quit when a syntax file was already loaded
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
15 if version < 600
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
16 syntax clear
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
17 elseif exists("b:current_syntax")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
18 finish
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
19 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
20
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
21 " A bunch of useful Vera keywords
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
22 syn keyword veraStatement break return continue fork join terminate
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
23 syn keyword veraStatement breakpoint proceed
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
24
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
25 syn keyword veraLabel bad_state bad_trans bind constraint coverage_group
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
26 syn keyword veraLabel class CLOCK default function interface m_bad_state
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
27 syn keyword veraLabel m_bad_trans m_state m_trans program randseq state
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
28 syn keyword veraLabel task trans
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
29
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
30 syn keyword veraConditional if else case casex casez randcase
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
31 syn keyword veraRepeat repeat while for do foreach
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
32 syn keyword veraModifier after all any around assoc_size async
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
33 syn keyword veraModifier before big_endian bit_normal bit_reverse export
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
34 syn keyword veraModifier extends extern little_endian local hdl_node hdl_task
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
35 syn keyword veraModifier negedge none packed protected posedge public rules
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
36 syn keyword veraModifier shadow soft static super this typedef unpacked var
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
37 syn keyword veraModifier vca virtual virtuals wildcard with
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
38
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
39 syn keyword veraType reg string enum event bit
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
40 syn keyword veraType rand randc integer port prod
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
41
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
42 syn keyword veraDeprecated call_func call_task close_conn get_bind get_bind_id
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
43 syn keyword veraDeprecated get_conn_err mailbox_receive mailbox_send make_client
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
44 syn keyword veraDeprecated make_server simwave_plot up_connections
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
45
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
46 " predefined tasks and functions
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
47 syn keyword veraTask alloc assoc_index cast_assign cm_coverage
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
48 syn keyword veraTask cm_get_coverage cm_get_limit delay error error_mode
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
49 syn keyword veraTask exit fclose feof ferror fflush flag fopen fprintf
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
50 syn keyword veraTask freadb freadh freadstr get_cycle get_env get_memsize
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
51 syn keyword veraTask get_plus_arg getstate get_systime get_time get_time_unit
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
52 syn keyword veraTask initstate lock_file mailbox_get mailbox_put os_command
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
53 syn keyword veraTask printf prodget prodset psprintf query query_str query_x
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
54 syn keyword veraTask rand48 random region_enter region_exit rewind
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
55 syn keyword veraTask semaphore_get semaphore_put setstate signal_connect
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
56 syn keyword veraTask sprintf srandom sscanf stop suspend_thread sync
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
57 syn keyword veraTask timeout trace trigger unit_delay unlock_file urand48
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
58 syn keyword veraTask urandom urandom_range vera_bit_reverse vera_crc
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
59 syn keyword veraTask vera_pack vera_pack_big_endian vera_plot
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
60 syn keyword veraTask vera_report_profile vera_unpack vera_unpack_big_endian
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
61 syn keyword veraTask vsv_call_func vsv_call_task vsv_get_conn_err
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
62 syn keyword veraTask vsv_make_client vsv_make_server vsv_up_connections
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
63 syn keyword veraTask vsv_wait_for_done vsv_wait_for_input wait_child wait_var
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
64
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
65 syn cluster veraOperGroup contains=veraOperator,veraOperParen,veraNumber,veraString,veraOperOk,veraType
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
66 " syn match veraOperator "++\|--\|&\|\~&\||\|\~|\|^\|\~^\|\~\|><"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
67 " syn match veraOperator "*\|/\|%\|+\|-\|<<\|>>\|<\|<=\|>\|>=\|!in"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
68 " syn match veraOperator "=?=\|!?=\|==\|!=\|===\|!==\|&\~\|^\~\||\~"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
69 " syn match veraOperator "&&\|||\|=\|+=\|-=\|*=\|/=\|%=\|<<=\|>>=\|&="
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
70 " syn match veraOperator "|=\|^=\|\~&=\|\~|=\|\~^="
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
71
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
72 syn match veraOperator "[&|\~><!*@+/=,.\^\-]"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
73 syn keyword veraOperator or in dist not
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
74
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
75 " open vera class methods
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
76 syn keyword veraMethods atobin atohex atoi atooct backref bittostr capacity
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
77 syn keyword veraMethods compare Configure constraint_mode delete DisableTrigger
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
78 syn keyword veraMethods DoAction empty EnableCount EnableTrigger Event find
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
79 syn keyword veraMethods find_index first first_index GetAssert get_at_least
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
80 syn keyword veraMethods get_auto_bin getc GetCount get_coverage_goal get_cov_weight
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
81 syn keyword veraMethods get_cross_bin_max GetFirstAssert GetName GetNextAssert
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
82 syn keyword veraMethods get_status get_status_msg hide hash icompare insert
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
83 syn keyword veraMethods inst_get_at_least inst_get_auto_bin_max inst_get_collect
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
84 syn keyword veraMethods inst_get_coverage_goal inst_get_cov_weight inst_getcross_bin_max
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
85 syn keyword veraMethods inst_query inst_set_at_least inst_set_auto_bin_max
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
86 syn keyword veraMethods inst_set_bin_activiation inst_set_collect inst_set_coverage_goal
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
87 syn keyword veraMethods inst_set_cov_weight inst_set_cross_bin_max itoa last last_index
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
88 syn keyword veraMethods len load match max max_index min min_index new object_compare
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
89 syn keyword veraMethods object_compare object_copy object_print pack pick_index
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
90 syn keyword veraMethods pop_back pop_front post_boundary postmatch post_pack post_pack
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
91 syn keyword veraMethods post_randomize post_randomize post_unpack post_unpack
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
92 syn keyword veraMethods pre_boundary prematch pre_pack pre_pack pre_randomize
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
93 syn keyword veraMethods pre-randomize pre_unpack push_back push_front putc query
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
94 syn keyword veraMethods query_str rand_mode randomize reserve reverse rsort search
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
95 syn keyword veraMethods set_at_least set_auto_bin_max set_bin_activiation
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
96 syn keyword veraMethods set_coverage_goal set_cov_weight set_cross_bin_max set_name
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
97 syn keyword veraMethods size sort substr sum thismatch tolower toupper unique_index
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
98 syn keyword veraMethods unpack Wait
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
99
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
100 " interface keywords
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
101 syn keyword veraInterface ASYNC CLOCK gnr gr0 gr1 grx grz NHOLD nr NR0 NR1
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
102 syn keyword veraInterface NRZ NRZ NSAMPLE PHOLD PR0 PR1 PRX PRZ r0 r1 rx snr
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
103 syn keyword veraInterface sr0 sr1 srx srz depth inout input output
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
104 syn match veraInterface "\$\w\+"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
105
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
106
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
107 syn keyword veraTodo contained TODO FIXME XXX FINISH
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
108
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
109 " veraCommentGroup allows adding matches for special things in comments
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
110 syn cluster veraCommentGroup contains=veraTodo
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
111
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
112 " String and Character constants
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
113 " Highlight special characters (those which have a backslash) differently
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
114 syn match veraSpecial display contained "\\\(x\x\+\|\o\{1,3}\|.\|$\)"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
115 syn match veraFormat display "%\(\d\+\$\)\=[-+' #0*]*\(\d*\|\*\|\*\d\+\$\)\(\.\(\d*\|\*\|\*\d\+\$\)\)\=\([hlL]\|ll\)\=\([bdiuoxXDOUfeEgGcCsSpnm]\|\[\^\=.[^]]*\]\)" contained
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
116 syn match veraFormat display "%%" contained
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
117 syn region veraString start=+"+ skip=+\\\\\|\\"+ end=+"+ contains=veraSpecial,veraFormat,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
118 syn region veraConcat contained transparent oneline start='{' end='}'
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
119
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
120 " veraCppString: same as veraString, but ends at end of line
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
121 syn region veraCppString start=+"+ skip=+\\\\\|\\"\|\\$+ excludenl end=+"+ end='$' contains=veraSpecial,veraFormat,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
122
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
123 syn match veraCharacter "'[^\\]'"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
124 syn match veraCharacter "L'[^']*'" contains=veraSpecial
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
125 syn match veraSpecialError "'\\[^'\"?\\abefnrtv]'"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
126 syn match veraSpecialCharacter "'\\['\"?\\abefnrtv]'"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
127 syn match veraSpecialCharacter display "'\\\o\{1,3}'"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
128 syn match veraSpecialCharacter display "'\\x\x\{1,2}'"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
129 syn match veraSpecialCharacter display "L'\\x\x\+'"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
130
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
131 " highlight trailing white space
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
132 syn match veraSpaceError display excludenl "\s\+$"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
133 syn match veraSpaceError display " \+\t"me=e-1
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
134
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
135 "catch errors caused by wrong parenthesis and brackets
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
136 syn cluster veraParenGroup contains=veraParenError,veraIncluded,veraSpecial,veraCommentSkip,veraCommentString,veraComment2String,@veraCommentGroup,veraCommentStartError,veraUserCont,veraUserLabel,veraBitField,veraCommentSkip,veraOctalZero,veraCppOut,veraCppOut2,veraCppSkip,veraFormat,veraNumber,veraFloat,veraOctal,veraOctalError,veraNumbersCom
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
137
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
138 syn region veraParen transparent start='(' end=')' contains=ALLBUT,@veraParenGroup,veraCppParen,veraErrInBracket,veraCppBracket,veraCppString,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
139 " veraCppParen: same as veraParen but ends at end-of-line; used in veraDefine
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
140 syn region veraCppParen transparent start='(' skip='\\$' excludenl end=')' end='$' contained contains=ALLBUT,@veraParenGroup,veraErrInBracket,veraParen,veraBracket,veraString,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
141 syn match veraParenError display "[\])]"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
142 " syn match veraErrInParen display contained "[\]{}]"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
143 syn match veraErrInParen display contained "[\]]"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
144 syn region veraBracket transparent start='\[' end=']' contains=ALLBUT,@veraParenGroup,veraErrInParen,veraCppParen,veraCppBracket,veraCppString,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
145
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
146 " veraCppBracket: same as veraParen but ends at end-of-line; used in veraDefine
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
147 syn region veraCppBracket transparent start='\[' skip='\\$' excludenl end=']' end='$' contained contains=ALLBUT,@veraParenGroup,veraErrInParen,veraParen,veraBracket,veraString,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
148 syn match veraErrInBracket display contained "[);{}]"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
149
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
150 "integer number, or floating point number without a dot and with "f".
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
151 syn case ignore
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
152 syn match veraNumbers display transparent "\<\d\|\.\d" contains=veraNumber,veraFloat,veraOctalError,veraOctal
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
153 " Same, but without octal error (for comments)
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
154 syn match veraNumbersCom display contained transparent "\<\d\|\.\d" contains=veraNumber,veraFloat,veraOctal
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
155 " syn match veraNumber display contained "\d\+\(u\=l\{0,2}\|ll\=u\)\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
156 " "hex number
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
157 " syn match veraNumber display contained "0x\x\+\(u\=l\{0,2}\|ll\=u\)\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
158 " syn match veraNumber "\(\<[0-9]\+\|\)'[bdoh][0-9a-fxzA-FXZ_]\+\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
159 syn match veraNumber "\<\(\<[0-9]\+\)\?\('[bdoh]\)\?[0-9a-fxz_]\+\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
160 " syn match veraNumber "\<[+-]\=[0-9]\+\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
161 " Flag the first zero of an octal number as something special
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
162 syn match veraOctal display contained "0\o\+\(u\=l\{0,2}\|ll\=u\)\>" contains=veraOctalZero
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
163 syn match veraOctalZero display contained "\<0"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
164 syn match veraFloat display contained "\d\+f"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
165 "floating point number, with dot, optional exponent
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
166 syn match veraFloat display contained "\d\+\.\d*\(e[-+]\=\d\+\)\=[fl]\="
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
167 "floating point number, starting with a dot, optional exponent
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
168 syn match veraFloat display contained "\.\d\+\(e[-+]\=\d\+\)\=[fl]\=\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
169 "floating point number, without dot, with exponent
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
170 syn match veraFloat display contained "\d\+e[-+]\=\d\+[fl]\=\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
171 "hexadecimal floating point number, optional leading digits, with dot, with exponent
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
172 syn match veraFloat display contained "0x\x*\.\x\+p[-+]\=\d\+[fl]\=\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
173 "hexadecimal floating point number, with leading digits, optional dot, with exponent
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
174 syn match veraFloat display contained "0x\x\+\.\=p[-+]\=\d\+[fl]\=\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
175
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
176 " flag an octal number with wrong digits
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
177 syn match veraOctalError display contained "0\o*[89]\d*"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
178 syn case match
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
179
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
180 let vera_comment_strings = 1
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
181
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
182 if exists("vera_comment_strings")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
183 " A comment can contain veraString, veraCharacter and veraNumber.
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
184 " But a "*/" inside a veraString in a veraComment DOES end the comment! So we
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
185 " need to use a special type of veraString: veraCommentString, which also ends on
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
186 " "*/", and sees a "*" at the start of the line as comment again.
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
187 " Unfortunately this doesn't work very well for // type of comments :-(
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
188 syntax match veraCommentSkip contained "^\s*\*\($\|\s\+\)"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
189 syntax region veraCommentString contained start=+L\=\\\@<!"+ skip=+\\\\\|\\"+ end=+"+ end=+\*/+me=s-1 contains=veraSpecial,veraCommentSkip
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
190 syntax region veraComment2String contained start=+\\\@<!"+ skip=+\\\\\|\\"+ end=+"+ end="$" contains=veraSpecial
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
191 syntax region veraCommentL start="//" skip="\\$" end="$" keepend contains=@veraCommentGroup,veraComment2String,veraCharacter,veraNumbersCom,veraSpaceError,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
192 if exists("vera_no_comment_fold")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
193 syntax region veraComment matchgroup=veraCommentStart start="/\*" end="\*/" contains=@veraCommentGroup,veraCommentStartError,veraCommentString,veraCharacter,veraNumbersCom,veraSpaceError,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
194 else
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
195 syntax region veraComment matchgroup=veraCommentStart start="/\*" end="\*/" contains=@veraCommentGroup,veraCommentStartError,veraCommentString,veraCharacter,veraNumbersCom,veraSpaceError,@Spell fold
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
196 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
197 else
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
198 syn region veraCommentL start="//" skip="\\$" end="$" keepend contains=@veraCommentGroup,veraSpaceError,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
199 if exists("vera_no_comment_fold")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
200 syn region veraComment matchgroup=veraCommentStart start="/\*" end="\*/" contains=@veraCommentGroup,veraCommentStartError,veraSpaceError,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
201 else
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
202 syn region veraComment matchgroup=veraCommentStart start="/\*" end="\*/" contains=@veraCommentGroup,veraCommentStartError,veraSpaceError,@Spell fold
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
203 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
204 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
205 " keep a // comment separately, it terminates a preproc. conditional
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
206 syntax match veraCommentError display "\*/"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
207 syntax match veraCommentStartError display "/\*"me=e-1 contained
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
208
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
209 syntax region veraBlock start="{" end="}" transparent fold
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
210
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
211 " open vera pre-defined constants
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
212 syn keyword veraConstant ALL ANY BAD_STATE BAD_TRANS CALL CHECK CHGEDGE
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
213 syn keyword veraConstant CLEAR COPY_NO_WAIT COPY_WAIT CROSS CROSS_TRANS
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
214 syn keyword veraConstant DEBUG DELETE EC_ARRAYX EC_CODE_END EC_CONFLICT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
215 syn keyword veraConstant EC_EVNTIMOUT EC_EXPECT EC_FULLEXPECT EC_MBXTMOUT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
216 syn keyword veraConstant EC_NEXPECT EC_RETURN EC_RGNTMOUT EC_SCONFLICT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
217 syn keyword veraConstant EC_SEMTMOUT EC_SEXPECT EC_SFULLEXPECT EC_SNEXTPECT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
218 syn keyword veraConstant EC_USERSET EQ EVENT FAIL FIRST FORK GE GOAL GT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
219 syn keyword veraConstant HAND_SHAKE HI HIGH HNUM LE LIC_EXIT LIC_PRERR
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
220 syn keyword veraConstant LIC_PRWARN LIC_WAIT LO LOAD LOW LT MAILBOX MAX_COM
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
221 syn keyword veraConstant NAME NE NEGEDGE NEXT NO_OVERLAP NO_OVERLAP_STATE
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
222 syn keyword veraConstant NO_OVERLAP_TRANS NO_VARS NO_WAIT NUM NUM_BIN
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
223 syn keyword veraConstant NUM_DET null OFF OK OK_LAST ON ONE_BLAST ONE_SHOT ORDER
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
224 syn keyword veraConstant PAST_IT PERCENT POSEDGE PROGRAM RAWIN REGION REPORT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
225 syn keyword veraConstant SAMPLE SAVE SEMAPHORE SET SILENT STATE stderr
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
226 syn keyword veraConstant stdin stdout STR STR_ERR_OUT_OF_RANGE
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
227 syn keyword veraConstant STR_ERR_REGEXP_SYNTAX SUM TRANS VERBOSE void WAIT
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
228 syn keyword veraConstant __LINE__ __FILE__ __DATE__ __TIME__ __VERA__
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
229 syn keyword veraConstant __VERSION__ __VERA_VERSION__ __VERA_MINOR__
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
230 syn keyword veraConstant __VERA_PATCH__ __VERA_VMC__ __VERA_VMC_MINOR__
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
231
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
232 syn match veraUserConstant "\<[A-Z][A-Z0-9_]\+\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
233
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
234 syn match veraClass "\zs\w\+\ze::"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
235 syn match veraClass "\zs\w\+\ze\s\+\w\+\s*[=;,)\[]" contains=veraConstant,veraUserConstant
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
236 syn match veraClass "\zs\w\+\ze\s\+\w\+\s*$" contains=veraConstant,veraUserConstant
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
237 syn match veraUserMethod "\zs\w\+\ze\s*(" contains=veraConstant,veraUserConstant
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
238 syn match veraObject "\zs\w\+\ze\.\w"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
239 syn match veraObject "\zs\w\+\ze\.\$\w"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
240
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
241 " Accept ` for # (Verilog)
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
242 syn region veraPreCondit start="^\s*\(`\|#\)\s*\(if\|ifdef\|ifndef\|elif\)\>" skip="\\$" end="$" end="//"me=s-1 contains=veraComment,veraCppString,veraCharacter,veraCppParen,veraParenError,veraNumbers,veraCommentError,veraSpaceError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
243 syn match veraPreCondit display "^\s*\(`\|#\)\s*\(else\|endif\)\>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
244 if !exists("vera_no_if0")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
245 syn region veraCppOut start="^\s*\(`\|#\)\s*if\s\+0\+\>" end=".\@=\|$" contains=veraCppOut2
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
246 syn region veraCppOut2 contained start="0" end="^\s*\(`\|#\)\s*\(endif\>\|else\>\|elif\>\)" contains=veraSpaceError,veraCppSkip
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
247 syn region veraCppSkip contained start="^\s*\(`\|#\)\s*\(if\>\|ifdef\>\|ifndef\>\)" skip="\\$" end="^\s*\(`\|#\)\s*endif\>" contains=veraSpaceError,veraCppSkip
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
248 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
249 syn region veraIncluded display contained start=+"+ skip=+\\\\\|\\"+ end=+"+
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
250 syn match veraIncluded display contained "<[^>]*>"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
251 syn match veraInclude display "^\s*\(`\|#\)\s*include\>\s*["<]" contains=veraIncluded
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
252 "syn match veraLineSkip "\\$"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
253 syn cluster veraPreProcGroup contains=veraPreCondit,veraIncluded,veraInclude,veraDefine,veraErrInParen,veraErrInBracket,veraUserLabel,veraSpecial,veraOctalZero,veraCppOut,veraCppOut2,veraCppSkip,veraFormat,veraNumber,veraFloat,veraOctal,veraOctalError,veraNumbersCom,veraString,veraCommentSkip,veraCommentString,veraComment2String,@veraCommentGroup,veraCommentStartError,veraParen,veraBracket,veraMulti
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
254 syn region veraDefine start="^\s*\(`\|#\)\s*\(define\|undef\)\>" skip="\\$" end="$" end="//"me=s-1 contains=ALLBUT,@veraPreProcGroup,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
255 syn region veraPreProc start="^\s*\(`\|#\)\s*\(pragma\>\|line\>\|warning\>\|error\>\)" skip="\\$" end="$" keepend contains=ALLBUT,@veraPreProcGroup,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
256
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
257 " Highlight User Labels
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
258 syn cluster veraMultiGroup contains=veraIncluded,veraSpecial,veraCommentSkip,veraCommentString,veraComment2String,@veraCommentGroup,veraCommentStartError,veraUserCont,veraUserLabel,veraBitField,veraOctalZero,veraCppOut,veraCppOut2,veraCppSkip,veraFormat,veraNumber,veraFloat,veraOctal,veraOctalError,veraNumbersCom,veraCppParen,veraCppBracket,veraCppString
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
259 syn region veraMulti transparent start='?' skip='::' end=':' contains=ALLBUT,@veraMultiGroup,@Spell
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
260 " syn region veraMulti transparent start='?' skip='::' end=':' contains=ALL
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
261 " The above causes veraCppOut2 to catch on:
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
262 " i = (isTrue) ? 0 : 1;
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
263 " which ends up commenting the rest of the file
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
264
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
265 " Avoid matching foo::bar() by requiring that the next char is not ':'
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
266 syn cluster veraLabelGroup contains=veraUserLabel
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
267 syn match veraUserCont display "^\s*\I\i*\s*:$" contains=@veraLabelGroup
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
268 syn match veraUserCont display ";\s*\I\i*\s*:$" contains=@veraLabelGroup
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
269 syn match veraUserCont display "^\s*\I\i*\s*:[^:]"me=e-1 contains=@veraLabelGroup
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
270 syn match veraUserCont display ";\s*\I\i*\s*:[^:]"me=e-1 contains=@veraLabelGroup
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
271
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
272 syn match veraUserLabel display "\I\i*" contained
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
273
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
274 " Avoid recognizing most bitfields as labels
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
275 syn match veraBitField display "^\s*\I\i*\s*:\s*[1-9]"me=e-1
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
276 syn match veraBitField display ";\s*\I\i*\s*:\s*[1-9]"me=e-1
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
277
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
278 if exists("vera_minlines")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
279 let b:vera_minlines = vera_minlines
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
280 else
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
281 if !exists("vera_no_if0")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
282 let b:vera_minlines = 50 " #if 0 constructs can be long
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
283 else
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
284 let b:vera_minlines = 15 " mostly for () constructs
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
285 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
286 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
287 exec "syn sync ccomment veraComment minlines=" . b:vera_minlines
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
288
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
289 " Define the default highlighting.
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
290 " For version 5.7 and earlier: only when not done already
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
291 " For version 5.8 and later: only when an item doesn't have highlighting yet
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
292 if version >= 508 || !exists("did_vera_syn_inits")
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
293 if version < 508
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
294 let did_vera_syn_inits = 1
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
295 command -nargs=+ HiLink hi link <args>
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
296 else
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
297 command -nargs=+ HiLink hi def link <args>
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
298 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
299
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
300 HiLink veraClass Identifier
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
301 HiLink veraObject Identifier
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
302 HiLink veraUserMethod Function
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
303 HiLink veraTask Keyword
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
304 HiLink veraModifier Tag
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
305 HiLink veraDeprecated veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
306 HiLink veraMethods Statement
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
307 " HiLink veraInterface Label
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
308 HiLink veraInterface Function
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
309
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
310 HiLink veraFormat veraSpecial
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
311 HiLink veraCppString veraString
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
312 HiLink veraCommentL veraComment
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
313 HiLink veraCommentStart veraComment
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
314 HiLink veraLabel Label
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
315 HiLink veraUserLabel Label
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
316 HiLink veraConditional Conditional
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
317 HiLink veraRepeat Repeat
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
318 HiLink veraCharacter Character
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
319 HiLink veraSpecialCharacter veraSpecial
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
320 HiLink veraNumber Number
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
321 HiLink veraOctal Number
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
322 HiLink veraOctalZero PreProc " link this to Error if you want
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
323 HiLink veraFloat Float
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
324 HiLink veraOctalError veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
325 HiLink veraParenError veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
326 HiLink veraErrInParen veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
327 HiLink veraErrInBracket veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
328 HiLink veraCommentError veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
329 HiLink veraCommentStartError veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
330 HiLink veraSpaceError SpaceError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
331 HiLink veraSpecialError veraError
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
332 HiLink veraOperator Operator
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
333 HiLink veraStructure Structure
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
334 HiLink veraInclude Include
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
335 HiLink veraPreProc PreProc
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
336 HiLink veraDefine Macro
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
337 HiLink veraIncluded veraString
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
338 HiLink veraError Error
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
339 HiLink veraStatement Statement
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
340 HiLink veraPreCondit PreCondit
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
341 HiLink veraType Type
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
342 " HiLink veraConstant Constant
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
343 HiLink veraConstant Keyword
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
344 HiLink veraUserConstant Constant
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
345 HiLink veraCommentString veraString
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
346 HiLink veraComment2String veraString
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
347 HiLink veraCommentSkip veraComment
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
348 HiLink veraString String
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
349 HiLink veraComment Comment
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
350 HiLink veraSpecial SpecialChar
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
351 HiLink veraTodo Todo
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
352 HiLink veraCppSkip veraCppOut
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
353 HiLink veraCppOut2 veraCppOut
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
354 HiLink veraCppOut Comment
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
355
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
356 delcommand HiLink
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
357 endif
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
358
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
359 let b:current_syntax = "vera"
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
360
5a7843c57316 updated for version 7.0e02
vimboss
parents:
diff changeset
361 " vim: ts=8