diff runtime/indent/verilog.vim @ 11062:1218c5353e2b

Runtime file updates. commit https://github.com/vim/vim/commit/214641f77df6f318a4b3a0b09723c19859a103f4 Author: Bram Moolenaar <Bram@vim.org> Date: Sun Mar 5 17:04:09 2017 +0100 Runtime file updates.
author Christian Brabandt <cb@256bit.org>
date Sun, 05 Mar 2017 17:15:05 +0100
parents 8b8ef1fed009
children 8d76a56861ec
line wrap: on
line diff
--- a/runtime/indent/verilog.vim
+++ b/runtime/indent/verilog.vim
@@ -1,10 +1,12 @@
 " Language:     Verilog HDL
-" Maintainer:	Chih-Tsun Huang <cthuang@larc.ee.nthu.edu.tw>
-" Last Change:	2011 Dec 10 by Thilo Six
-" URL:		http://larc.ee.nthu.edu.tw/~cthuang/vim/indent/verilog.vim
+" Maintainer:	Chih-Tsun Huang <cthuang@cs.nthu.edu.tw>
+" Last Change:	2017 Feb 24 by Chih-Tsun Huang
+" URL:		    http://www.cs.nthu.edu.tw/~cthuang/vim/indent/verilog.vim
 "
 " Credits:
 "   Suggestions for improvement, bug reports by
+"     Takuya Fujiwara <tyru.exe@gmail.com>
+"     Thilo Six <debian@Xk2c.de>
 "     Leo Butlero <lbutler@brocade.com>
 "
 " Buffer Variables:
@@ -38,7 +40,7 @@ function GetVerilogIndent()
   if exists('b:verilog_indent_width')
     let offset = b:verilog_indent_width
   else
-    let offset = &sw
+    let offset = shiftwidth()
   endif
   if exists('b:verilog_indent_modules')
     let indent_modules = offset