Mercurial > vim
view runtime/ftplugin/systemverilog.vim @ 30845:fa3aba805a34 v9.0.0757
patch 9.0.0757: line number not visisble with 'smoothscroll', 'nu' and 'rnu'
Commit: https://github.com/vim/vim/commit/eb4de629315f2682d8b314462d02422ec98d751a
Author: Bram Moolenaar <Bram@vim.org>
Date: Sat Oct 15 13:42:17 2022 +0100
patch 9.0.0757: line number not visisble with 'smoothscroll', 'nu' and 'rnu'
Problem: Line number not visisble with 'smoothscroll', 'nu' and 'rnu'.
Solution: Put the ">>>" after the line number instead of on top.
author | Bram Moolenaar <Bram@vim.org> |
---|---|
date | Sat, 15 Oct 2022 14:45:07 +0200 |
parents | 11b656e74444 |
children |
line wrap: on
line source
" Vim filetype plugin file " Language: SystemVerilog " Maintainer: kocha <kocha.lsifrontend@gmail.com> " Last Change: 07-May-2021 if exists("b:did_ftplugin") finish endif " Behaves just like Verilog runtime! ftplugin/verilog.vim let s:cpo_save = &cpo set cpo&vim " Add SystemVerilog keywords for matchit plugin. if exists("loaded_matchit") let b:match_words = \ '\<begin\>:\<end\>,' . \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' . \ '\<module\>:\<endmodule\>,' . \ '\<if\>:`\@<!\<else\>,' . \ '\<function\>:\<endfunction\>,' . \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' . \ '\<task\>:\<endtask\>,' . \ '\<specify\>:\<endspecify\>,' . \ '\<config\>:\<endconfig\>,' . \ '\<generate\>:\<endgenerate\>,' . \ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' . \ '\<primitive\>:\<endprimitive\>,' . \ '\<table\>:\<endtable\>,' . \ '\<checker\>:\<endchecker\>,' . \ '\<class\>:\<endclass\>,' . \ '\<clocking\>:\<endclocking\>,' . \ '\<group\>:\<endgroup\>,' . \ '\<interface\>:\<endinterface\>,' . \ '\<package\>:\<endpackage\>,' . \ '\<program\>:\<endprogram\>,' . \ '\<property\>:\<endproperty\>,' . \ '\<sequence\>:\<endsequence\>' endif let &cpo = s:cpo_save unlet s:cpo_save