view runtime/indent/mail.vim @ 33052:5c220cf30f1f

runtime: Set b:undo_indent where missing (#12944) Commit: https://github.com/vim/vim/commit/0382f05dbd659d8e39ee4e71c1e5062ac5c0a8fd Author: dkearns <dougkearns@gmail.com> Date: Tue Aug 29 05:32:59 2023 +1000 runtime: Set b:undo_indent where missing (https://github.com/vim/vim/issues/12944) Signed-off-by: Christian Brabandt <cb@256bit.org>
author Christian Brabandt <cb@256bit.org>
date Mon, 28 Aug 2023 21:45:07 +0200
parents 75c283beb74f
children
line wrap: on
line source

" Vim indent file
" Language:	Mail
" Maintainer:	The Vim Project <https://github.com/vim/vim>
" Last Change:	2023 Aug 13

if exists("b:did_indent")
  finish
endif
let b:did_indent = 1

" What works best is auto-indenting, disable other indenting.
" For formatting see the ftplugin.
setlocal autoindent nosmartindent nocindent indentexpr=

let b:undo_indent = "setl ai< cin< inde< si<"