view runtime/indent/ch.vim @ 33052:5c220cf30f1f

runtime: Set b:undo_indent where missing (#12944) Commit: https://github.com/vim/vim/commit/0382f05dbd659d8e39ee4e71c1e5062ac5c0a8fd Author: dkearns <dougkearns@gmail.com> Date: Tue Aug 29 05:32:59 2023 +1000 runtime: Set b:undo_indent where missing (https://github.com/vim/vim/issues/12944) Signed-off-by: Christian Brabandt <cb@256bit.org>
author Christian Brabandt <cb@256bit.org>
date Mon, 28 Aug 2023 21:45:07 +0200
parents 8cd729851562
children
line wrap: on
line source

" Vim indent file
" Language:	Ch
" Maintainer:	SoftIntegration, Inc. <info@softintegration.com>
" URL:		http://www.softintegration.com/download/vim/indent/ch.vim
" Last change:	2006 Apr 30
" 		2023 Aug 28 by Vim Project (undo_indent)
"		Created based on cpp.vim
"
" Ch is a C/C++ interpreter with many high level extensions


" Only load this indent file when no other was loaded.
if exists("b:did_indent")
   finish
endif
let b:did_indent = 1

" Ch indenting is built-in, thus this is very simple
setlocal cindent

let b:undo_indent = "setlocal cindent<"