diff runtime/indent/vhdl.vim @ 33052:5c220cf30f1f

runtime: Set b:undo_indent where missing (#12944) Commit: https://github.com/vim/vim/commit/0382f05dbd659d8e39ee4e71c1e5062ac5c0a8fd Author: dkearns <dougkearns@gmail.com> Date: Tue Aug 29 05:32:59 2023 +1000 runtime: Set b:undo_indent where missing (https://github.com/vim/vim/issues/12944) Signed-off-by: Christian Brabandt <cb@256bit.org>
author Christian Brabandt <cb@256bit.org>
date Mon, 28 Aug 2023 21:45:07 +0200
parents 3b26420fc639
children
line wrap: on
line diff
--- a/runtime/indent/vhdl.vim
+++ b/runtime/indent/vhdl.vim
@@ -3,6 +3,7 @@
 " Maintainer:  Gerald Lai <laigera+vim?gmail.com>
 " Version:     1.62
 " Last Change: 2017 Oct 17
+"              2023 Aug 28 by Vim Project (undo_indent)
 " URL:         http://www.vim.org/scripts/script.php?script_id=1450
 
 " only load this indent file when no other was loaded
@@ -19,6 +20,8 @@ setlocal indentkeys+==~if,=~then,=~elsif
 setlocal indentkeys+==~case,=~loop,=~for,=~generate,=~record,=~units,=~process,=~block,=~function,=~component,=~procedure
 setlocal indentkeys+==~architecture,=~configuration,=~entity,=~package
 
+let b:undo_indent = "setlocal indentexpr< indentkeys<"
+
 " constants
 " not a comment
 let s:NC = '\%(--.*\)\@<!'