annotate runtime/syntax/verilogams.vim @ 1469:88c0c6c9eada v7.1.184

updated for version 7.1-184
author vimboss
date Wed, 02 Jan 2008 15:26:04 +0000
parents 66080ac5dab7
children 82b5078be2dd
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
481
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
1 " Vim syntax file
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
2 " Language: Verilog-AMS
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
3 " Maintainer: S. Myles Prather <smprather@gmail.com>
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
4 " Last Update: Sun Aug 14 03:58:00 CST 2003
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
5
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
6 " For version 5.x: Clear all syntax items
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
7 " For version 6.x: Quit when a syntax file was already loaded
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
8 if version < 600
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
9 syntax clear
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
10 elseif exists("b:current_syntax")
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
11 finish
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
12 endif
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
13
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
14 " Set the local value of the 'iskeyword' option
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
15 if version >= 600
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
16 setlocal iskeyword=@,48-57,_,192-255
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
17 else
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
18 set iskeyword=@,48-57,_,192-255
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
19 endif
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
20
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
21 " Annex B.1 'All keywords'
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
22 syn keyword verilogamsStatement above abs absdelay acos acosh ac_stim
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
23 syn keyword verilogamsStatement always analog analysis and asin
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
24 syn keyword verilogamsStatement asinh assign atan atan2 atanh branch
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
25 syn keyword verilogamsStatement buf bufif1 ceil cmos
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
26 syn keyword verilogamsStatement connectrules cos cosh cross ddt ddx deassign
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
27 syn keyword verilogamsStatement defparam disable discipline
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
28 syn keyword verilogamsStatement driver_update edge enddiscipline
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
29 syn keyword verilogamsStatement endconnectrules endmodule endfunction
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
30 syn keyword verilogamsStatement endnature endparamset endprimitive endspecify
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
31 syn keyword verilogamsStatement endtable endtask event exp final_step
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
32 syn keyword verilogamsStatement flicker_noise floor flow force fork
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
33 syn keyword verilogamsStatement function generate genvar highz0
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
34 syn keyword verilogamsStatement highz1 hypot idt idtmod if ifnone initial
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
35 syn keyword verilogamsStatement initial_step inout input join
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
36 syn keyword verilogamsStatement laplace_nd laplace_np laplace_zd laplace_zp
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
37 syn keyword verilogamsStatement large last_crossing limexp ln localparam log
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
38 syn keyword verilogamsStatement macromodule max medium min module nand nature
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
39 syn keyword verilogamsStatement negedge net_resolution nmos noise_table nor not
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
40 syn keyword verilogamsStatement notif0 notif1 or output paramset pmos
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
41 syn keyword verilogamsType parameter real integer electrical input output
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
42 syn keyword verilogamsType inout reg tri tri0 tri1 triand trior trireg
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
43 syn keyword verilogamsType string from exclude aliasparam ground
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
44 syn keyword verilogamsStatement posedge potential pow primitive pull0 pull1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
45 syn keyword verilogamsStatement pullup pulldown rcmos release
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
46 syn keyword verilogamsStatement rnmos rpmos rtran rtranif0 rtranif1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
47 syn keyword verilogamsStatement scalared sin sinh slew small specify specparam
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
48 syn keyword verilogamsStatement sqrt strong0 strong1 supply0 supply1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
49 syn keyword verilogamsStatement table tan tanh task time timer tran tranif0
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
50 syn keyword verilogamsStatement tranif1 transition
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
51 syn keyword verilogamsStatement vectored wait wand weak0 weak1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
52 syn keyword verilogamsStatement white_noise wire wor wreal xnor xor zi_nd
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
53 syn keyword verilogamsStatement zi_np zi_zd
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
54 syn keyword verilogamsRepeat forever repeat while for
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
55 syn keyword verilogamsLabel begin end
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
56 syn keyword verilogamsConditional if else case casex casez default endcase
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
57 syn match verilogamsConstant ":inf"lc=1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
58 syn match verilogamsConstant "-inf"lc=1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
59 " Annex B.2 Discipline/nature
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
60 syn keyword verilogamsStatement abstol access continuous ddt_nature discrete
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
61 syn keyword verilogamsStatement domain idt_nature units
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
62 " Annex B.3 Connect Rules
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
63 syn keyword verilogamsStatement connect merged resolveto split
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
64
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
65 syn match verilogamsOperator "[&|~><!)(*#%@+/=?:;}{,.\^\-\[\]]"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
66 syn match verilogamsOperator "<+"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
67 syn match verilogamsStatement "[vV]("me=e-1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
68 syn match verilogamsStatement "[iI]("me=e-1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
69
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
70 syn keyword verilogamsTodo contained TODO
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
71 syn region verilogamsComment start="/\*" end="\*/" contains=verilogamsTodo
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
72 syn match verilogamsComment "//.*" contains=verilogamsTodo
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
73
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
74 syn match verilogamsGlobal "`celldefine"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
75 syn match verilogamsGlobal "`default_nettype"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
76 syn match verilogamsGlobal "`define"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
77 syn match verilogamsGlobal "`else"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
78 syn match verilogamsGlobal "`elsif"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
79 syn match verilogamsGlobal "`endcelldefine"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
80 syn match verilogamsGlobal "`endif"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
81 syn match verilogamsGlobal "`ifdef"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
82 syn match verilogamsGlobal "`ifndef"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
83 syn match verilogamsGlobal "`include"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
84 syn match verilogamsGlobal "`line"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
85 syn match verilogamsGlobal "`nounconnected_drive"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
86 syn match verilogamsGlobal "`resetall"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
87 syn match verilogamsGlobal "`timescale"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
88 syn match verilogamsGlobal "`unconnected_drive"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
89 syn match verilogamsGlobal "`undef"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
90 syn match verilogamsSystask "$[a-zA-Z0-9_]\+\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
91
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
92 syn match verilogamsConstant "\<[A-Z][A-Z0-9_]\+\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
93
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
94 syn match verilogamsNumber "\(\<\d\+\|\)'[bB]\s*[0-1_xXzZ?]\+\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
95 syn match verilogamsNumber "\(\<\d\+\|\)'[oO]\s*[0-7_xXzZ?]\+\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
96 syn match verilogamsNumber "\(\<\d\+\|\)'[dD]\s*[0-9_xXzZ?]\+\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
97 syn match verilogamsNumber "\(\<\d\+\|\)'[hH]\s*[0-9a-fA-F_xXzZ?]\+\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
98 syn match verilogamsNumber "\<[+-]\=[0-9_]\+\(\.[0-9_]*\|\)\(e[0-9_]*\|\)\>"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
99
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
100 syn region verilogamsString start=+"+ skip=+\\"+ end=+"+ contains=verilogamsEscape
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
101 syn match verilogamsEscape +\\[nt"\\]+ contained
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
102 syn match verilogamsEscape "\\\o\o\=\o\=" contained
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
103
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
104 "Modify the following as needed. The trade-off is performance versus
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
105 "functionality.
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
106 syn sync lines=50
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
107
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
108 " Define the default highlighting.
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
109 " For version 5.7 and earlier: only when not done already
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
110 " For version 5.8 and later: only when an item doesn't have highlighting yet
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
111 if version >= 508 || !exists("did_verilogams_syn_inits")
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
112 if version < 508
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
113 let did_verilogams_syn_inits = 1
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
114 command -nargs=+ HiLink hi link <args>
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
115 else
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
116 command -nargs=+ HiLink hi def link <args>
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
117 endif
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
118
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
119 " The default highlighting.
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
120 HiLink verilogamsCharacter Character
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
121 HiLink verilogamsConditional Conditional
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
122 HiLink verilogamsRepeat Repeat
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
123 HiLink verilogamsString String
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
124 HiLink verilogamsTodo Todo
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
125 HiLink verilogamsComment Comment
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
126 HiLink verilogamsConstant Constant
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
127 HiLink verilogamsLabel Label
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
128 HiLink verilogamsNumber Number
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
129 HiLink verilogamsOperator Special
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
130 HiLink verilogamsStatement Statement
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
131 HiLink verilogamsGlobal Define
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
132 HiLink verilogamsDirective SpecialComment
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
133 HiLink verilogamsEscape Special
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
134 HiLink verilogamsType Type
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
135 HiLink verilogamsSystask Function
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
136
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
137 delcommand HiLink
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
138 endif
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
139
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
140 let b:current_syntax = "verilogams"
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
141
66080ac5dab7 updated for version 7.0130
vimboss
parents:
diff changeset
142 " vim: ts=8