annotate runtime/syntax/gp.vim @ 6999:dc1b678f0e4e v7.4.817

patch 7.4.817 Problem: Invalid memory access in file_pat_to_reg_pat(). Solution: Use vim_isspace() instead of checking for a space only. (Dominique Pelle)
author Bram Moolenaar <bram@vim.org>
date Tue, 11 Aug 2015 16:20:05 +0200
parents af1e8a1714c2
children 43efa4f5a8ea
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
1 " Vim syntax file
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
2 " Language: gp (version 2.5)
827
fd1b3406fd1c updated for version 7.0d02
vimboss
parents: 7
diff changeset
3 " Maintainer: Karim Belabas <Karim.Belabas@math.u-bordeaux.fr>
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
4 " Last change: 2012 Jan 08
827
fd1b3406fd1c updated for version 7.0d02
vimboss
parents: 7
diff changeset
5 " URL: http://pari.math.u-bordeaux.fr
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
6
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
7 if version < 600
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
8 syntax clear
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
9 elseif exists("b:current_syntax")
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
10 finish
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
11 endif
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
12
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
13 let s:cpo_save = &cpo
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
14 set cpo&vim
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
15
827
fd1b3406fd1c updated for version 7.0d02
vimboss
parents: 7
diff changeset
16 " control statements
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
17 syntax keyword gpStatement break return next
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
18 syntax keyword gpConditional if
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
19 syntax keyword gpRepeat until while for fordiv forell forprime
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
20 syntax keyword gpRepeat forsubgroup forstep forvec
827
fd1b3406fd1c updated for version 7.0d02
vimboss
parents: 7
diff changeset
21 " storage class
1619
b9740fb41986 updated for version 7.2a
vimboss
parents: 827
diff changeset
22 syntax keyword gpScope my local global
827
fd1b3406fd1c updated for version 7.0d02
vimboss
parents: 7
diff changeset
23 " defaults
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
24 syntax keyword gpInterfaceKey breakloop colors compatible
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
25 syntax keyword gpInterfaceKey datadir debug debugfiles debugmem
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
26 syntax keyword gpInterfaceKey echo factor_add_primes factor_proven format
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
27 syntax keyword gpInterfaceKey graphcolormap graphcolors
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
28 syntax keyword gpInterfaceKey help histfile histsize
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
29 syntax keyword gpInterfaceKey lines linewrap log logfile new_galois_format
827
fd1b3406fd1c updated for version 7.0d02
vimboss
parents: 7
diff changeset
30 syntax keyword gpInterfaceKey output parisize path prettyprinter primelimit
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
31 syntax keyword gpInterfaceKey prompt prompt_cont psfile
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
32 syntax keyword gpInterfaceKey readline realprecision recover
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
33 syntax keyword gpInterfaceKey secure seriesprecision simplify strictmatch
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
34 syntax keyword gpInterfaceKey TeXstyle timer
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
35
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
36 syntax match gpInterface "^\s*\\[a-z].*"
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
37 syntax keyword gpInterface default
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
38 syntax keyword gpInput read input
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
39
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
40 " functions
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
41 syntax match gpFunRegion "^\s*[a-zA-Z][_a-zA-Z0-9]*(.*)\s*=\s*[^ \t=]"me=e-1 contains=gpFunction,gpArgs
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
42 syntax match gpFunRegion "^\s*[a-zA-Z][_a-zA-Z0-9]*(.*)\s*=\s*$" contains=gpFunction,gpArgs
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
43 syntax match gpArgs contained "[a-zA-Z][_a-zA-Z0-9]*"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
44 syntax match gpFunction contained "^\s*[a-zA-Z][_a-zA-Z0-9]*("me=e-1
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
45
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
46 " String and Character constants
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
47 " Highlight special (backslash'ed) characters differently
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
48 syntax match gpSpecial contained "\\[ent\\]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
49 syntax region gpString start=+"+ skip=+\\\\\|\\"+ end=+"+ contains=gpSpecial
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
50
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
51 "comments
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
52 syntax region gpComment start="/\*" end="\*/" contains=gpTodo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
53 syntax match gpComment "\\\\.*" contains=gpTodo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
54 syntax keyword gpTodo contained TODO
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
55 syntax sync ccomment gpComment minlines=10
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
56
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
57 "catch errors caused by wrong parenthesis
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
58 syntax region gpParen transparent start='(' end=')' contains=ALLBUT,gpParenError,gpTodo,gpFunction,gpArgs,gpSpecial
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
59 syntax match gpParenError ")"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
60 syntax match gpInParen contained "[{}]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
61
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
62 if version >= 508 || !exists("did_gp_syn_inits")
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
63 if version < 508
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
64 let did_gp_syn_inits = 1
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
65 command -nargs=+ HiLink hi link <args>
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
66 else
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
67 command -nargs=+ HiLink hi def link <args>
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
68 endif
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
69
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
70 HiLink gpConditional Conditional
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
71 HiLink gpRepeat Repeat
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
72 HiLink gpError Error
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
73 HiLink gpParenError gpError
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
74 HiLink gpInParen gpError
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
75 HiLink gpStatement Statement
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
76 HiLink gpString String
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
77 HiLink gpComment Comment
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
78 HiLink gpInterface Type
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
79 HiLink gpInput Type
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
80 HiLink gpInterfaceKey Statement
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
81 HiLink gpFunction Function
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
82 HiLink gpScope Type
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
83 " contained ones
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
84 HiLink gpSpecial Special
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
85 HiLink gpTodo Todo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
86 HiLink gpArgs Type
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
87 delcommand HiLink
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
88 endif
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
89
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
90 let b:current_syntax = "gp"
3281
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
91 let &cpo = s:cpo_save
af1e8a1714c2 Update runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1619
diff changeset
92 unlet s:cpo_save
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
93 " vim: ts=8