annotate runtime/syntax/esterel.vim @ 6999:dc1b678f0e4e v7.4.817

patch 7.4.817 Problem: Invalid memory access in file_pat_to_reg_pat(). Solution: Use vim_isspace() instead of checking for a space only. (Dominique Pelle)
author Bram Moolenaar <bram@vim.org>
date Tue, 11 Aug 2015 16:20:05 +0200
parents 82b5078be2dd
children 43efa4f5a8ea
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
1 " Vim syntax file
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
2 " Language: ESTEREL
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
3 " Maintainer: Maurizio Tranchero <maurizio.tranchero@polito.it> - <maurizio.tranchero@gmail.com>
1621
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
4 " Credits: Luca Necchi <luca.necchi@polito.it>, Nikos Andrikos <nick.andrik@gmail.com>
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
5 " First Release: Tue May 17 23:49:39 CEST 2005
1621
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
6 " Last Change: Tue May 6 13:29:56 CEST 2008
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
7 " Version: 0.8
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
8
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
9 " For version 5.x: Clear all syntax items
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
10 " For version 6.x: Quit when a syntax file was already loaded
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
11 if version < 600
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
12 syntax clear
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
13 elseif exists("b:current_syntax")
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
14 finish
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
15 endif
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
16
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
17 " case is significant
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
18 syn case ignore
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
19 " Esterel Regions
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
20 syn region esterelModule start=/module/ end=/end module/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
21 syn region esterelLoop start=/loop/ end=/end loop/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
22 syn region esterelAbort start=/abort/ end=/when/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
23 syn region esterelAbort start=/weak abort/ end=/when/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
24 syn region esterelEvery start=/every/ end=/end every/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
25 syn region esterelIf start=/if/ end=/end if/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
26 syn region esterelConcurrent transparent start=/\[/ end=/\]/ contains=ALLBUT,esterelModule
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
27 syn region esterelIfThen start=/if/ end=/then/ oneline
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
28 " Esterel Keywords
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
29 syn keyword esterelIO input output inputoutput constant
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
30 syn keyword esterelBoolean and or not xor xnor nor nand
1621
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
31 syn keyword esterelExpressions mod pre
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
32 syn keyword esterelStatement nothing halt
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
33 syn keyword esterelStatement module signal sensor end
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
34 syn keyword esterelStatement every do loop abort weak
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
35 syn keyword esterelStatement emit present await
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
36 syn keyword esterelStatement pause when immediate
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
37 syn keyword esterelStatement if then else case
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
38 syn keyword esterelStatement var in run suspend
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
39 syn keyword esterelStatement repeat times combine with
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
40 syn keyword esterelStatement assert sustain
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
41 " check what it is the following
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
42 syn keyword esterelStatement relation
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
43 syn keyword esterelFunctions function procedure task
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
44 syn keyword esterelSysCall call trap exit exec
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
45 " Esterel Types
1621
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
46 syn keyword esterelType integer float bolean
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
47 " Esterel Comment
1621
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
48 syn match esterelComment "%.*$"
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
49 " Operators and special characters
1621
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
50 syn match esterelSpecial ":"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
51 syn match esterelSpecial "<="
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
52 syn match esterelSpecial ">="
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
53 syn match esterelSpecial "+"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
54 syn match esterelSpecial "-"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
55 syn match esterelSpecial "="
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
56 syn match esterelSpecial ";"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
57 syn match esterelSpecial "/"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
58 syn match esterelSpecial "?"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
59 syn match esterelOperator "\["
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
60 syn match esterelOperator "\]"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
61 syn match esterelOperator ":="
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
62 syn match esterelOperator "||"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
63 syn match esterelStatement "\<\(if\|else\)\>"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
64 syn match esterelNone "\<else\s\+if\>$"
82b5078be2dd updated for version 7.2a
vimboss
parents: 846
diff changeset
65 syn match esterelNone "\<else\s\+if\>\s"
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
66
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
67 " Class Linking
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
68 if version >= 508 || !exists("did_esterel_syntax_inits")
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
69 if version < 508
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
70 let did_esterel_syntax_inits = 1
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
71 command -nargs=+ HiLink hi link <args>
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
72 else
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
73 command -nargs=+ HiLink hi def link <args>
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
74 endif
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
75
846
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
76 HiLink esterelStatement Statement
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
77 HiLink esterelType Type
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
78 HiLink esterelComment Comment
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
79 HiLink esterelBoolean Number
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
80 HiLink esterelExpressions Number
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
81 HiLink esterelIO String
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
82 HiLink esterelOperator Type
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
83 HiLink esterelSysCall Type
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
84 HiLink esterelFunctions Type
db44f7b81373 updated for version 7.0f03
vimboss
parents: 839
diff changeset
85 HiLink esterelSpecial Special
277
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
86
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
87 delcommand HiLink
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
88 endif
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
89
fe16c18c24a7 updated for version 7.0074
vimboss
parents:
diff changeset
90 let b:current_syntax = "esterel"