annotate runtime/syntax/vmasm.vim @ 18486:9d887cad7315

Added tag v8.1.2237 for changeset 63ee3c2b140fe1b4801389872a8e47aec19d028b
author Bram Moolenaar <Bram@vim.org>
date Thu, 31 Oct 2019 20:00:04 +0100
parents 46763b01cd9a
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
1 " Vim syntax file
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
2 " Language: (VAX) Macro Assembly
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
3 " Maintainer: Tom Uijldert <tom.uijldert [at] cmg.nl>
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
4 " Last change: 2004 May 16
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
5 "
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
6 " This is incomplete. Feel free to contribute...
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
7 "
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
8
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
9 " quit when a syntax file was already loaded
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
10 if exists("b:current_syntax")
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
11 finish
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
12 endif
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
13
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
14 syn case ignore
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
15
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
16 " Partial list of register symbols
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
17 syn keyword vmasmReg r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
18 syn keyword vmasmReg ap fp sp pc iv dv
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
19
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
20 " All matches - order is important!
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
21 syn keyword vmasmOpcode adawi adwc ashl ashq bitb bitw bitl decb decw decl
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
22 syn keyword vmasmOpcode ediv emul incb incw incl mcomb mcomw mcoml
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
23 syn keyword vmasmOpcode movzbw movzbl movzwl popl pushl rotl sbwc
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
24 syn keyword vmasmOpcode cmpv cmpzv cmpc3 cmpc5 locc matchc movc3 movc5
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
25 syn keyword vmasmOpcode movtc movtuc scanc skpc spanc crc extv extzv
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
26 syn keyword vmasmOpcode ffc ffs insv aobleq aoblss bbc bbs bbcci bbssi
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
27 syn keyword vmasmOpcode blbc blbs brb brw bsbb bsbw caseb casew casel
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
28 syn keyword vmasmOpcode jmp jsb rsb sobgeq sobgtr callg calls ret
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
29 syn keyword vmasmOpcode bicpsw bispsw bpt halt index movpsl nop popr pushr xfc
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
30 syn keyword vmasmOpcode insqhi insqti insque remqhi remqti remque
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
31 syn keyword vmasmOpcode addp4 addp6 ashp cmpp3 cmpp4 cvtpl cvtlp cvtps cvtpt
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
32 syn keyword vmasmOpcode cvtsp cvttp divp movp mulp subp4 subp6 editpc
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
33 syn keyword vmasmOpcode prober probew rei ldpctx svpctx mfpr mtpr bugw bugl
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
34 syn keyword vmasmOpcode vldl vldq vgathl vgathq vstl vstq vscatl vscatq
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
35 syn keyword vmasmOpcode vvcvt iota mfvp mtvp vsync
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
36 syn keyword vmasmOpcode beql[u] bgtr[u] blss[u]
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
37 syn match vmasmOpcode "\<add[bwlfdgh][23]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
38 syn match vmasmOpcode "\<bi[cs][bwl][23]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
39 syn match vmasmOpcode "\<clr[bwlqofdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
40 syn match vmasmOpcode "\<cmp[bwlfdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
41 syn match vmasmOpcode "\<cvt[bwlfdgh][bwlfdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
42 syn match vmasmOpcode "\<cvtr[fdgh]l\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
43 syn match vmasmOpcode "\<div[bwlfdgh][23]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
44 syn match vmasmOpcode "\<emod[fdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
45 syn match vmasmOpcode "\<mneg[bwlfdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
46 syn match vmasmOpcode "\<mov[bwlqofdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
47 syn match vmasmOpcode "\<mul[bwlfdgh][23]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
48 syn match vmasmOpcode "\<poly[fdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
49 syn match vmasmOpcode "\<sub[bwlfdgh][23]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
50 syn match vmasmOpcode "\<tst[bwlfdgh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
51 syn match vmasmOpcode "\<xor[bwl][23]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
52 syn match vmasmOpcode "\<mova[bwlfqdgho]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
53 syn match vmasmOpcode "\<push[bwlfqdgho]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
54 syn match vmasmOpcode "\<acb[bwlfgdh]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
55 syn match vmasmOpcode "\<b[lng]equ\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
56 syn match vmasmOpcode "\<b[cv][cs]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
57 syn match vmasmOpcode "\<bb[cs][cs]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
58 syn match vmasmOpcode "\<v[vs]add[lfdg]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
59 syn match vmasmOpcode "\<v[vs]cmp[lfdg]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
60 syn match vmasmOpcode "\<v[vs]div[fdg]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
61 syn match vmasmOpcode "\<v[vs]mul[lfdg]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
62 syn match vmasmOpcode "\<v[vs]sub[lfdg]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
63 syn match vmasmOpcode "\<v[vs]bi[cs]l\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
64 syn match vmasmOpcode "\<v[vs]xorl\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
65 syn match vmasmOpcode "\<v[vs]merge\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
66 syn match vmasmOpcode "\<v[vs]s[rl]ll\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
67
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
68 " Various number formats
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
69 syn match vmasmdecNumber "[+-]\=[0-9]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
70 syn match vmasmdecNumber "^d[0-9]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
71 syn match vmasmhexNumber "^x[0-9a-f]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
72 syn match vmasmoctNumber "^o[0-7]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
73 syn match vmasmbinNumber "^b[01]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
74 syn match vmasmfloatNumber "[-+]\=[0-9]\+E[-+]\=[0-9]\+"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
75 syn match vmasmfloatNumber "[-+]\=[0-9]\+\.[0-9]*\(E[-+]\=[0-9]\+\)\="
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
76
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
77 " Valid labels
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
78 syn match vmasmLabel "^[a-z_$.][a-z0-9_$.]\{,30}::\="
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
79 syn match vmasmLabel "\<[0-9]\{1,5}\$:\=" " Local label
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
80
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
81 " Character string constants
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
82 " Too complex really. Could be "<...>" but those could also be
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
83 " expressions. Don't know how to handle chosen delimiters
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
84 " ("^<sep>...<sep>")
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
85 " syn region vmasmString start="<" end=">" oneline
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
86
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
87 " Operators
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
88 syn match vmasmOperator "[-+*/@&!\\]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
89 syn match vmasmOperator "="
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
90 syn match vmasmOperator "==" " Global assignment
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
91 syn match vmasmOperator "%length(.*)"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
92 syn match vmasmOperator "%locate(.*)"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
93 syn match vmasmOperator "%extract(.*)"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
94 syn match vmasmOperator "^[amfc]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
95 syn match vmasmOperator "[bwlg]^"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
96
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
97 syn match vmasmOperator "\<\(not_\)\=equal\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
98 syn match vmasmOperator "\<less_equal\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
99 syn match vmasmOperator "\<greater\(_equal\)\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
100 syn match vmasmOperator "\<less_than\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
101 syn match vmasmOperator "\<\(not_\)\=defined\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
102 syn match vmasmOperator "\<\(not_\)\=blank\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
103 syn match vmasmOperator "\<identical\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
104 syn match vmasmOperator "\<different\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
105 syn match vmasmOperator "\<eq\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
106 syn match vmasmOperator "\<[gl]t\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
107 syn match vmasmOperator "\<n\=df\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
108 syn match vmasmOperator "\<n\=b\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
109 syn match vmasmOperator "\<idn\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
110 syn match vmasmOperator "\<[nlg]e\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
111 syn match vmasmOperator "\<dif\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
112
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
113 " Special items for comments
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
114 syn keyword vmasmTodo contained todo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
115
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
116 " Comments
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
117 syn match vmasmComment ";.*" contains=vmasmTodo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
118
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
119 " Include
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
120 syn match vmasmInclude "\.library\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
121
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
122 " Macro definition
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
123 syn match vmasmMacro "\.macro\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
124 syn match vmasmMacro "\.mexit\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
125 syn match vmasmMacro "\.endm\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
126 syn match vmasmMacro "\.mcall\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
127 syn match vmasmMacro "\.mdelete\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
128
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
129 " Conditional assembly
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
130 syn match vmasmPreCond "\.iff\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
131 syn match vmasmPreCond "\.if_false\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
132 syn match vmasmPreCond "\.iftf\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
133 syn match vmasmPreCond "\.if_true\(_false\)\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
134 syn match vmasmPreCond "\.iif\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
135
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
136 " Loop control
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
137 syn match vmasmRepeat "\.irpc\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
138 syn match vmasmRepeat "\.repeat\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
139 syn match vmasmRepeat "\.rept\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
140 syn match vmasmRepeat "\.endr\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
141
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
142 " Directives
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
143 syn match vmasmDirective "\.address\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
144 syn match vmasmDirective "\.align\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
145 syn match vmasmDirective "\.asci[cdiz]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
146 syn match vmasmDirective "\.blk[abdfghloqw]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
147 syn match vmasmDirective "\.\(signed_\)\=byte\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
148 syn match vmasmDirective "\.\(no\)\=cross\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
149 syn match vmasmDirective "\.debug\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
150 syn match vmasmDirective "\.default displacement\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
151 syn match vmasmDirective "\.[dfgh]_floating\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
152 syn match vmasmDirective "\.disable\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
153 syn match vmasmDirective "\.double\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
154 syn match vmasmDirective "\.dsabl\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
155 syn match vmasmDirective "\.enable\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
156 syn match vmasmDirective "\.endc\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
157 syn match vmasmDirective "\.entry\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
158 syn match vmasmDirective "\.error\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
159 syn match vmasmDirective "\.even\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
160 syn match vmasmDirective "\.external\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
161 syn match vmasmDirective "\.extrn\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
162 syn match vmasmDirective "\.float\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
163 syn match vmasmDirective "\.globa\=l\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
164 syn match vmasmDirective "\.ident\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
165 syn match vmasmDirective "\.link\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
166 syn match vmasmDirective "\.list\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
167 syn match vmasmDirective "\.long\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
168 syn match vmasmDirective "\.mask\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
169 syn match vmasmDirective "\.narg\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
170 syn match vmasmDirective "\.nchr\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
171 syn match vmasmDirective "\.nlist\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
172 syn match vmasmDirective "\.ntype\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
173 syn match vmasmDirective "\.octa\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
174 syn match vmasmDirective "\.odd\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
175 syn match vmasmDirective "\.opdef\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
176 syn match vmasmDirective "\.packed\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
177 syn match vmasmDirective "\.page\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
178 syn match vmasmDirective "\.print\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
179 syn match vmasmDirective "\.psect\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
180 syn match vmasmDirective "\.quad\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
181 syn match vmasmDirective "\.ref[1248]\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
182 syn match vmasmDirective "\.ref16\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
183 syn match vmasmDirective "\.restore\(_psect\)\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
184 syn match vmasmDirective "\.save\(_psect\)\=\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
185 syn match vmasmDirective "\.sbttl\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
186 syn match vmasmDirective "\.\(no\)\=show\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
187 syn match vmasmDirective "\.\(sub\)\=title\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
188 syn match vmasmDirective "\.transfer\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
189 syn match vmasmDirective "\.warn\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
190 syn match vmasmDirective "\.weak\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
191 syn match vmasmDirective "\.\(signed_\)\=word\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
192
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
193 syn case match
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
194
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
195 " Define the default highlighting.
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
196 " Only when an item doesn't have highlighting yet
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
197
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
198 " The default methods for highlighting. Can be overridden later
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
199 " Comment Constant Error Identifier PreProc Special Statement Todo Type
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
200 "
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
201 " Constant Boolean Character Number String
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
202 " Identifier Function
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
203 " PreProc Define Include Macro PreCondit
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
204 " Special Debug Delimiter SpecialChar SpecialComment Tag
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
205 " Statement Conditional Exception Keyword Label Operator Repeat
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
206 " Type StorageClass Structure Typedef
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
207
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
208 hi def link vmasmComment Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
209 hi def link vmasmTodo Todo
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
210
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
211 hi def link vmasmhexNumber Number " Constant
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
212 hi def link vmasmoctNumber Number " Constant
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
213 hi def link vmasmbinNumber Number " Constant
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
214 hi def link vmasmdecNumber Number " Constant
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
215 hi def link vmasmfloatNumber Number " Constant
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
216
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
217 " hi def link vmasmString String " Constant
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
218
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
219 hi def link vmasmReg Identifier
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
220 hi def link vmasmOperator Identifier
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
221
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
222 hi def link vmasmInclude Include " PreProc
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
223 hi def link vmasmMacro Macro " PreProc
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
224 " hi def link vmasmMacroParam Keyword " Statement
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
225
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
226 hi def link vmasmDirective Special
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
227 hi def link vmasmPreCond Special
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
228
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
229
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
230 hi def link vmasmOpcode Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
231 hi def link vmasmCond Conditional " Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
232 hi def link vmasmRepeat Repeat " Statement
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
233
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
234 hi def link vmasmLabel Type
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
235
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
236 let b:current_syntax = "vmasm"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
237
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
238 " vim: ts=8 sw=2