annotate runtime/syntax/verilog.vim @ 18486:9d887cad7315

Added tag v8.1.2237 for changeset 63ee3c2b140fe1b4801389872a8e47aec19d028b
author Bram Moolenaar <Bram@vim.org>
date Thu, 31 Oct 2019 20:00:04 +0100
parents 46763b01cd9a
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
1 " Vim syntax file
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
2 " Language: Verilog
1120
e6db096b07a1 updated for version 7.1a
vimboss
parents: 316
diff changeset
3 " Maintainer: Mun Johl <Mun.Johl@emulex.com>
3082
3502a7f991fc Updated runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1120
diff changeset
4 " Last Update: Wed Jul 20 16:04:19 PDT 2011
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
5
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 3082
diff changeset
6 " quit when a syntax file was already loaded
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 3082
diff changeset
7 if exists("b:current_syntax")
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
8 finish
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
9 endif
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
10
3082
3502a7f991fc Updated runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1120
diff changeset
11 " Set the local value of the 'iskeyword' option.
3502a7f991fc Updated runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1120
diff changeset
12 " NOTE: '?' was added so that verilogNumber would be processed correctly when
3502a7f991fc Updated runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1120
diff changeset
13 " '?' is the last character of the number.
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 3082
diff changeset
14 setlocal iskeyword=@,48-57,63,_,192-255
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
15
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
16 " A bunch of useful Verilog keywords
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
17
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
18 syn keyword verilogStatement always and assign automatic buf
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
19 syn keyword verilogStatement bufif0 bufif1 cell cmos
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
20 syn keyword verilogStatement config deassign defparam design
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
21 syn keyword verilogStatement disable edge endconfig
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
22 syn keyword verilogStatement endfunction endgenerate endmodule
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
23 syn keyword verilogStatement endprimitive endspecify endtable endtask
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
24 syn keyword verilogStatement event force function
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
25 syn keyword verilogStatement generate genvar highz0 highz1 ifnone
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
26 syn keyword verilogStatement incdir include initial inout input
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
27 syn keyword verilogStatement instance integer large liblist
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
28 syn keyword verilogStatement library localparam macromodule medium
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
29 syn keyword verilogStatement module nand negedge nmos nor
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
30 syn keyword verilogStatement noshowcancelled not notif0 notif1 or
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
31 syn keyword verilogStatement output parameter pmos posedge primitive
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
32 syn keyword verilogStatement pull0 pull1 pulldown pullup
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
33 syn keyword verilogStatement pulsestyle_onevent pulsestyle_ondetect
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
34 syn keyword verilogStatement rcmos real realtime reg release
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
35 syn keyword verilogStatement rnmos rpmos rtran rtranif0 rtranif1
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
36 syn keyword verilogStatement scalared showcancelled signed small
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
37 syn keyword verilogStatement specify specparam strong0 strong1
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
38 syn keyword verilogStatement supply0 supply1 table task time tran
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
39 syn keyword verilogStatement tranif0 tranif1 tri tri0 tri1 triand
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
40 syn keyword verilogStatement trior trireg unsigned use vectored wait
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
41 syn keyword verilogStatement wand weak0 weak1 wire wor xnor xor
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
42 syn keyword verilogLabel begin end fork join
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
43 syn keyword verilogConditional if else case casex casez default endcase
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
44 syn keyword verilogRepeat forever repeat while for
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
45
3082
3502a7f991fc Updated runtime files.
Bram Moolenaar <bram@vim.org>
parents: 1120
diff changeset
46 syn keyword verilogTodo contained TODO FIXME
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
47
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
48 syn match verilogOperator "[&|~><!)(*#%@+/=?:;}{,.\^\-\[\]]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
49
316
96789bc4346a updated for version 7.0083
vimboss
parents: 7
diff changeset
50 syn region verilogComment start="/\*" end="\*/" contains=verilogTodo,@Spell
96789bc4346a updated for version 7.0083
vimboss
parents: 7
diff changeset
51 syn match verilogComment "//.*" contains=verilogTodo,@Spell
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
52
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
53 "syn match verilogGlobal "`[a-zA-Z0-9_]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
54 syn match verilogGlobal "`celldefine"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
55 syn match verilogGlobal "`default_nettype"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
56 syn match verilogGlobal "`define"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
57 syn match verilogGlobal "`else"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
58 syn match verilogGlobal "`elsif"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
59 syn match verilogGlobal "`endcelldefine"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
60 syn match verilogGlobal "`endif"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
61 syn match verilogGlobal "`ifdef"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
62 syn match verilogGlobal "`ifndef"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
63 syn match verilogGlobal "`include"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
64 syn match verilogGlobal "`line"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
65 syn match verilogGlobal "`nounconnected_drive"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
66 syn match verilogGlobal "`resetall"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
67 syn match verilogGlobal "`timescale"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
68 syn match verilogGlobal "`unconnected_drive"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
69 syn match verilogGlobal "`undef"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
70 syn match verilogGlobal "$[a-zA-Z0-9_]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
71
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
72 syn match verilogConstant "\<[A-Z][A-Z0-9_]\+\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
73
1120
e6db096b07a1 updated for version 7.1a
vimboss
parents: 316
diff changeset
74 syn match verilogNumber "\(\<\d\+\|\)'[sS]\?[bB]\s*[0-1_xXzZ?]\+\>"
e6db096b07a1 updated for version 7.1a
vimboss
parents: 316
diff changeset
75 syn match verilogNumber "\(\<\d\+\|\)'[sS]\?[oO]\s*[0-7_xXzZ?]\+\>"
e6db096b07a1 updated for version 7.1a
vimboss
parents: 316
diff changeset
76 syn match verilogNumber "\(\<\d\+\|\)'[sS]\?[dD]\s*[0-9_xXzZ?]\+\>"
e6db096b07a1 updated for version 7.1a
vimboss
parents: 316
diff changeset
77 syn match verilogNumber "\(\<\d\+\|\)'[sS]\?[hH]\s*[0-9a-fA-F_xXzZ?]\+\>"
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
78 syn match verilogNumber "\<[+-]\=[0-9_]\+\(\.[0-9_]*\|\)\(e[0-9_]*\|\)\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
79
316
96789bc4346a updated for version 7.0083
vimboss
parents: 7
diff changeset
80 syn region verilogString start=+"+ skip=+\\"+ end=+"+ contains=verilogEscape,@Spell
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
81 syn match verilogEscape +\\[nt"\\]+ contained
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
82 syn match verilogEscape "\\\o\o\=\o\=" contained
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
83
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
84 " Directives
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
85 syn match verilogDirective "//\s*synopsys\>.*$"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
86 syn region verilogDirective start="/\*\s*synopsys\>" end="\*/"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
87 syn region verilogDirective start="//\s*synopsys dc_script_begin\>" end="//\s*synopsys dc_script_end\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
88
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
89 syn match verilogDirective "//\s*\$s\>.*$"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
90 syn region verilogDirective start="/\*\s*\$s\>" end="\*/"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
91 syn region verilogDirective start="//\s*\$s dc_script_begin\>" end="//\s*\$s dc_script_end\>"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
92
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
93 "Modify the following as needed. The trade-off is performance versus
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
94 "functionality.
316
96789bc4346a updated for version 7.0083
vimboss
parents: 7
diff changeset
95 syn sync minlines=50
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
96
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
97 " Define the default highlighting.
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 3082
diff changeset
98 " Only when an item doesn't have highlighting yet
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
99
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 3082
diff changeset
100 " The default highlighting.
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
101 hi def link verilogCharacter Character
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
102 hi def link verilogConditional Conditional
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
103 hi def link verilogRepeat Repeat
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
104 hi def link verilogString String
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
105 hi def link verilogTodo Todo
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
106 hi def link verilogComment Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
107 hi def link verilogConstant Constant
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
108 hi def link verilogLabel Label
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
109 hi def link verilogNumber Number
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
110 hi def link verilogOperator Special
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
111 hi def link verilogStatement Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
112 hi def link verilogGlobal Define
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
113 hi def link verilogDirective SpecialComment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
114 hi def link verilogEscape Special
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
115
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
116
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
117 let b:current_syntax = "verilog"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
118
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
119 " vim: ts=8