annotate runtime/syntax/gretl.vim @ 18486:9d887cad7315

Added tag v8.1.2237 for changeset 63ee3c2b140fe1b4801389872a8e47aec19d028b
author Bram Moolenaar <Bram@vim.org>
date Thu, 31 Oct 2019 20:00:04 +0100
parents 46763b01cd9a
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
1 " Vim syntax file
856
8cd729851562 updated for version 7.0g
vimboss
parents: 625
diff changeset
2 " Language: gretl (http://gretl.sf.net)
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
3 " Maintainer: Vaidotas Zemlys <zemlys@gmail.com>
856
8cd729851562 updated for version 7.0g
vimboss
parents: 625
diff changeset
4 " Last Change: 2006 Apr 30
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
5 " Filenames: *.inp *.gretl
856
8cd729851562 updated for version 7.0g
vimboss
parents: 625
diff changeset
6 " URL: http://uosis.mif.vu.lt/~zemlys/vim-syntax/gretl.vim
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
7
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
8 " quit when a syntax file was already loaded
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
9 if exists("b:current_syntax")
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
10 finish
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
11 endif
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
12
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
13 setlocal iskeyword=@,48-57,_,.
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
14
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
15 syn case match
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
16
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
17 " Constant
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
18 " string enclosed in double quotes
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
19 syn region gString start=/"/ skip=/\\\\\|\\"/ end=/"/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
20 " number with no fractional part or exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
21 syn match gNumber /\d\+/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
22 " floating point number with integer and fractional parts and optional exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
23 syn match gFloat /\d\+\.\d*\([Ee][-+]\=\d\+\)\=/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
24 " floating point number with no integer part and optional exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
25 syn match gFloat /\.\d\+\([Ee][-+]\=\d\+\)\=/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
26 " floating point number with no fractional part and optional exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
27 syn match gFloat /\d\+[Ee][-+]\=\d\+/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
28
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
29 " Gretl commands
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
30 syn keyword gCommands add addobs addto adf append ar arch arma break boxplot chow coeffsum coint coint2 corc corr corrgm criteria critical cusum data delete diff else end endif endloop eqnprint equation estimate fcast fcasterr fit freq function funcerr garch genr gnuplot graph hausman hccm help hilu hsk hurst if import include info kpss label labels lad lags ldiff leverage lmtest logistic logit logs loop mahal meantest mle modeltab mpols multiply nls nulldata ols omit omitfrom open outfile panel pca pergm plot poisson pooled print printf probit pvalue pwe quit remember rename reset restrict rhodiff rmplot run runs scatters sdiff set setobs setmiss shell sim smpl spearman square store summary system tabprint testuhat tobit transpos tsls var varlist vartest vecm vif wls
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
31
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
32 "Gretl genr functions
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
33 syn keyword gGenrFunc log exp sin cos tan atan diff ldiff sdiff mean sd min max sort int ln coeff abs rho sqrt sum nobs firstobs lastobs normal uniform stderr cum missing ok misszero corr vcv var sst cov median zeromiss pvalue critical obsnum mpow dnorm cnorm gamma lngamma resample hpfilt bkfilt fracdiff varnum isvector islist nelem
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
34
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
35 " Identifier
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
36 " identifier with leading letter and optional following keyword characters
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
37 syn match gIdentifier /\a\k*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
38
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
39 " Variable with leading $
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
40 syn match gVariable /\$\k*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
41 " Arrow
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
42 syn match gArrow /<-/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
43
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
44 " Special
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
45 syn match gDelimiter /[,;:]/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
46
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
47 " Error
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
48 syn region gRegion matchgroup=Delimiter start=/(/ matchgroup=Delimiter end=/)/ transparent contains=ALLBUT,rError,rBraceError,rCurlyError,gBCstart,gBCend
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
49 syn region gRegion matchgroup=Delimiter start=/{/ matchgroup=Delimiter end=/}/ transparent contains=ALLBUT,rError,rBraceError,rParenError
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
50 syn region gRegion matchgroup=Delimiter start=/\[/ matchgroup=Delimiter end=/]/ transparent contains=ALLBUT,rError,rCurlyError,rParenError
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
51 syn match gError /[)\]}]/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
52 syn match gBraceError /[)}]/ contained
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
53 syn match gCurlyError /[)\]]/ contained
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
54 syn match gParenError /[\]}]/ contained
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
55
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
56 " Comment
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
57 syn match gComment /#.*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
58 syn match gBCstart /(\*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
59 syn match gBCend /\*)/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
60
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
61 syn region gBlockComment matchgroup=gCommentStart start="(\*" end="\*)"
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
62
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
63 " Define the default highlighting.
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
64 " Only when an item doesn't have highlighting yet
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
65 hi def link gComment Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
66 hi def link gCommentStart Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
67 hi def link gBlockComment Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
68 hi def link gString String
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
69 hi def link gNumber Number
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
70 hi def link gBoolean Boolean
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
71 hi def link gFloat Float
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
72 hi def link gCommands Repeat
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
73 hi def link gGenrFunc Type
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
74 hi def link gDelimiter Delimiter
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
75 hi def link gError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
76 hi def link gBraceError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
77 hi def link gCurlyError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
78 hi def link gParenError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
79 hi def link gIdentifier Normal
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
80 hi def link gVariable Identifier
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
81 hi def link gArrow Repeat
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
82
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
83 let b:current_syntax="gretl"
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
84
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
85 " vim: ts=8 sw=2