annotate runtime/syntax/eviews.vim @ 18486:9d887cad7315

Added tag v8.1.2237 for changeset 63ee3c2b140fe1b4801389872a8e47aec19d028b
author Bram Moolenaar <Bram@vim.org>
date Thu, 31 Oct 2019 20:00:04 +0100
parents 46763b01cd9a
children
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
1 " Vim syntax file
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
2 " Language: Eviews (http://www.eviews.com)
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
3 " Maintainer: Vaidotas Zemlys <zemlys@gmail.com>
856
8cd729851562 updated for version 7.0g
vimboss
parents: 625
diff changeset
4 " Last Change: 2006 Apr 30
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
5 " Filenames: *.prg
856
8cd729851562 updated for version 7.0g
vimboss
parents: 625
diff changeset
6 " URL: http://uosis.mif.vu.lt/~zemlys/vim-syntax/eviews.vim
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
7 " quit when a syntax file was already loaded
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
8 if exists("b:current_syntax")
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
9 finish
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
10 endif
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
11
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
12 setlocal iskeyword=@,48-57,_,.
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
13
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
14 syn case match
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
15
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
16 " Comment
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
17 syn match eComment /\'.*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
18
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
19 " Constant
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
20 " string enclosed in double quotes
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
21 syn region eString start=/"/ skip=/\\\\\|\\"/ end=/"/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
22 " number with no fractional part or exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
23 syn match eNumber /\d\+/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
24 " floating point number with integer and fractional parts and optional exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
25 syn match eFloat /\d\+\.\d*\([Ee][-+]\=\d\+\)\=/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
26 " floating point number with no integer part and optional exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
27 syn match eFloat /\.\d\+\([Ee][-+]\=\d\+\)\=/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
28 " floating point number with no fractional part and optional exponent
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
29 syn match eFloat /\d\+[Ee][-+]\=\d\+/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
30
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
31 " Identifier
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
32 " identifier with leading letter and optional following keyword characters
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
33 syn match eIdentifier /\a\k*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
34
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
35 " Eviews Programing Language
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
36 syn keyword eProgLang @date else endif @errorcount @evpath exitloop for if @isobject next poff pon return statusline step stop @temppath then @time to @toc wend while include call subroutine endsub and or
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
37
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
38 " Eviews Objects, Views and Procedures
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
39 syn keyword eOVP alpha coef equation graph group link logl matrix model pool rowvector sample scalar series sspace sym system table text valmap var vector
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
40
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
41
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
42 " Standard Eviews Commands
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
43 syn keyword eStdCmd 3sls add addassign addinit addtext align alpha append arch archtest area arlm arma arroots auto axis bar bdstest binary block boxplot boxplotby bplabel cause ccopy cd cdfplot cellipse censored cfetch checkderivs chow clabel cleartext close coef coefcov coint comment control copy cor correl correlsq count cov create cross data datelabel dates db dbcopy dbcreate dbdelete dbopen dbpack dbrebuild dbrename dbrepair decomp define delete derivs describe displayname do draw driconvert drop dtable ec edftest endog eqs equation errbar exclude exit expand fetch fill fiml fit forecast freeze freq frml garch genr gmm grads graph group hconvert hfetch hilo hist hlabel hpf impulse jbera kdensity kerfit label laglen legend line linefit link linkto load logit logl ls makecoint makederivs makeendog makefilter makegarch makegrads makegraph makegroup makelimits makemodel makeregs makeresids makesignals makestates makestats makesystem map matrix means merge metafile ml model msg name nnfit open options ordered output override pageappend pagecontract pagecopy pagecreate pagedelete pageload pagerename pagesave pageselect pagestack pagestruct pageunstack param pcomp pie pool predict print probit program qqplot qstats range read rename representations resample reset residcor residcov resids results rls rndint rndseed rowvector run sample save scalar scale scat scatmat scenario seas seasplot series set setbpelem setcell setcolwidth setconvert setelem setfillcolor setfont setformat setheight setindent setjust setline setlines setmerge settextcolor setwidth sheet show signalgraphs smooth smpl solve solveopt sort spec spike sspace statby statefinal stategraphs stateinit stats statusline stomna store structure sur svar sym system table template testadd testbtw testby testdrop testexog testfit testlags teststat text tic toc trace tramoseats tsls unlink update updatecoefs uroot usage valmap var vars vector wald wfcreate wfopen wfsave wfselect white wls workfile write wtsls x11 x12 xy xyline xypair
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
44
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
45 " Constant Identifier
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
46 syn match eConstant /\!\k*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
47 " String Identifier
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
48 syn match eStringId /%\k*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
49 " Command Identifier
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
50 syn match eCommand /@\k*/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
51
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
52 " Special
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
53 syn match eDelimiter /[,;:]/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
54
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
55 " Error
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
56 syn region eRegion matchgroup=Delimiter start=/(/ matchgroup=Delimiter end=/)/ transparent contains=ALLBUT,rError,rBraceError,rCurlyError
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
57 syn region eRegion matchgroup=Delimiter start=/{/ matchgroup=Delimiter end=/}/ transparent contains=ALLBUT,rError,rBraceError,rParenError
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
58 syn region eRegion matchgroup=Delimiter start=/\[/ matchgroup=Delimiter end=/]/ transparent contains=ALLBUT,rError,rCurlyError,rParenError
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
59 syn match eError /[)\]}]/
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
60 syn match eBraceError /[)}]/ contained
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
61 syn match eCurlyError /[)\]]/ contained
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
62 syn match eParenError /[\]}]/ contained
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
63
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
64 " Define the default highlighting.
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 856
diff changeset
65 " Only when an item doesn't have highlighting yet
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
66 hi def link eComment Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
67 hi def link eConstant Identifier
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
68 hi def link eStringId Identifier
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
69 hi def link eCommand Type
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
70 hi def link eString String
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
71 hi def link eNumber Number
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
72 hi def link eBoolean Boolean
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
73 hi def link eFloat Float
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
74 hi def link eConditional Conditional
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
75 hi def link eProgLang Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
76 hi def link eOVP Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
77 hi def link eStdCmd Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
78 hi def link eIdentifier Normal
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
79 hi def link eDelimiter Delimiter
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
80 hi def link eError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
81 hi def link eBraceError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
82 hi def link eCurlyError Error
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
83 hi def link eParenError Error
625
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
84
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
85 let b:current_syntax="eviews"
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
86
81fe2ccc1207 updated for version 7.0179
vimboss
parents:
diff changeset
87 " vim: ts=8 sw=2