annotate runtime/syntax/ahdl.vim @ 18486:9d887cad7315

Added tag v8.1.2237 for changeset 63ee3c2b140fe1b4801389872a8e47aec19d028b
author Bram Moolenaar <Bram@vim.org>
date Thu, 31 Oct 2019 20:00:04 +0100
parents 46763b01cd9a
children 11b656e74444
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
1 " Vim syn file
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
2 " Language: Altera AHDL
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
3 " Maintainer: John Cook <john.cook@kla-tencor.com>
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
4 " Last Change: 2001 Apr 25
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
5
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
6 " quit when a syntax file was already loaded
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
7 if exists("b:current_syntax")
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
8 finish
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
9 endif
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
10
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
11 "this language is oblivious to case.
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
12 syn case ignore
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
13
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
14 " a bunch of keywords
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
15 syn keyword ahdlKeyword assert begin bidir bits buried case clique
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
16 syn keyword ahdlKeyword connected_pins constant defaults define design
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
17 syn keyword ahdlKeyword device else elsif end for function generate
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
18 syn keyword ahdlKeyword gnd help_id if in include input is machine
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
19 syn keyword ahdlKeyword node of options others output parameters
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
20 syn keyword ahdlKeyword returns states subdesign table then title to
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
21 syn keyword ahdlKeyword tri_state_node variable vcc when with
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
22
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
23 " a bunch of types
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
24 syn keyword ahdlIdentifier carry cascade dffe dff exp global
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
25 syn keyword ahdlIdentifier jkffe jkff latch lcell mcell memory opendrn
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
26 syn keyword ahdlIdentifier soft srffe srff tffe tff tri wire x
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
27
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
28 syn keyword ahdlMegafunction lpm_and lpm_bustri lpm_clshift lpm_constant
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
29 syn keyword ahdlMegafunction lpm_decode lpm_inv lpm_mux lpm_or lpm_xor
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
30 syn keyword ahdlMegafunction busmux mux
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
31
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
32 syn keyword ahdlMegafunction divide lpm_abs lpm_add_sub lpm_compare
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
33 syn keyword ahdlMegafunction lpm_counter lpm_mult
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
34
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
35 syn keyword ahdlMegafunction altdpram csfifo dcfifo scfifo csdpram lpm_ff
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
36 syn keyword ahdlMegafunction lpm_latch lpm_shiftreg lpm_ram_dq lpm_ram_io
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
37 syn keyword ahdlMegafunction lpm_rom lpm_dff lpm_tff clklock pll ntsc
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
38
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
39 syn keyword ahdlTodo contained TODO
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
40
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
41 " String contstants
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
42 syn region ahdlString start=+"+ skip=+\\"+ end=+"+
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
43
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
44 " valid integer number formats (decimal, binary, octal, hex)
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
45 syn match ahdlNumber '\<\d\+\>'
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
46 syn match ahdlNumber '\<b"\(0\|1\|x\)\+"'
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
47 syn match ahdlNumber '\<\(o\|q\)"\o\+"'
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
48 syn match ahdlNumber '\<\(h\|x\)"\x\+"'
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
49
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
50 " operators
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
51 syn match ahdlOperator "[!&#$+\-<>=?:\^]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
52 syn keyword ahdlOperator not and nand or nor xor xnor
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
53 syn keyword ahdlOperator mod div log2 used ceil floor
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
54
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
55 " one line and multi-line comments
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
56 " (define these after ahdlOperator so -- overrides -)
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
57 syn match ahdlComment "--.*" contains=ahdlNumber,ahdlTodo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
58 syn region ahdlComment start="%" end="%" contains=ahdlNumber,ahdlTodo
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
59
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
60 " other special characters
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
61 syn match ahdlSpecialChar "[\[\]().,;]"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
62
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
63 syn sync minlines=1
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
64
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
65 " Define the default highlighting.
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
66 " Only when an item doesn't have highlighting yet
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
67
10048
43efa4f5a8ea commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5
Christian Brabandt <cb@256bit.org>
parents: 7
diff changeset
68 " The default highlighting.
10051
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
69 hi def link ahdlNumber ahdlString
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
70 hi def link ahdlMegafunction ahdlIdentifier
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
71 hi def link ahdlSpecialChar SpecialChar
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
72 hi def link ahdlKeyword Statement
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
73 hi def link ahdlString String
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
74 hi def link ahdlComment Comment
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
75 hi def link ahdlIdentifier Identifier
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
76 hi def link ahdlOperator Operator
46763b01cd9a commit https://github.com/vim/vim/commit/f37506f60f87d52a9e8850e30067645e2b13783c
Christian Brabandt <cb@256bit.org>
parents: 10048
diff changeset
77 hi def link ahdlTodo Todo
7
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
78
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
79
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
80 let b:current_syntax = "ahdl"
3fc0f57ecb91 updated for version 7.0001
vimboss
parents:
diff changeset
81 " vim:ts=8