view runtime/ftplugin/systemverilog.vim @ 32794:d8d28ab976a0 v9.0.1713

patch 9.0.1713: Github CI fails to load snd-dummy kernel module Commit: https://github.com/vim/vim/commit/ba913b14dde28f1f49b73a15f0a75038e12fde27 Author: Zdenek Dohnal <zdohnal@redhat.com> Date: Tue Aug 15 22:34:45 2023 +0200 patch 9.0.1713: Github CI fails to load snd-dummy kernel module Problem: Github CI fails to load snd-dummy kernel module Solution: Make installation of linux-modules-extra optional linux-modules-extra package are not available on Ubuntu 22 at the moment, which breaks CI runs. The change make its installation conditional as the original `if-else` structure tried to do. closes: #12801 Signed-off-by: Christian Brabandt <cb@256bit.org> Co-authored-by: Zdenek Dohnal <zdohnal@redhat.com>
author Christian Brabandt <cb@256bit.org>
date Tue, 15 Aug 2023 22:45:03 +0200
parents 11b656e74444
children
line wrap: on
line source

" Vim filetype plugin file
" Language:    SystemVerilog
" Maintainer:  kocha <kocha.lsifrontend@gmail.com>
" Last Change: 07-May-2021

if exists("b:did_ftplugin")
  finish
endif

" Behaves just like Verilog
runtime! ftplugin/verilog.vim

let s:cpo_save = &cpo
set cpo&vim

" Add SystemVerilog keywords for matchit plugin.
if exists("loaded_matchit")
  let b:match_words =
    \ '\<begin\>:\<end\>,' .
    \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' .
    \ '\<module\>:\<endmodule\>,' .
    \ '\<if\>:`\@<!\<else\>,' .
    \ '\<function\>:\<endfunction\>,' .
    \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' .
    \ '\<task\>:\<endtask\>,' .
    \ '\<specify\>:\<endspecify\>,' .
    \ '\<config\>:\<endconfig\>,' .
    \ '\<generate\>:\<endgenerate\>,' .
    \ '\<fork\>:\<join\>\|\<join_any\>\|\<join_none\>,' .
    \ '\<primitive\>:\<endprimitive\>,' .
    \ '\<table\>:\<endtable\>,' .
    \ '\<checker\>:\<endchecker\>,' .
    \ '\<class\>:\<endclass\>,' .
    \ '\<clocking\>:\<endclocking\>,' .
    \ '\<group\>:\<endgroup\>,' .
    \ '\<interface\>:\<endinterface\>,' .
    \ '\<package\>:\<endpackage\>,' .
    \ '\<program\>:\<endprogram\>,' .
    \ '\<property\>:\<endproperty\>,' .
    \ '\<sequence\>:\<endsequence\>'
endif

let &cpo = s:cpo_save
unlet s:cpo_save