view src/testdir/test93.ok @ 5840:af1bb39774f4 v7.4.263

updated for version 7.4.263 Problem: GCC 4.8 compiler warning for hiding a declaration (Francois Gannaz) Solution: Remove the second declaration.
author Bram Moolenaar <bram@vim.org>
date Wed, 23 Apr 2014 19:44:30 +0200
parents 1c819b05529c
children
line wrap: on
line source

normal! 016|
normal! 016|
normal! 016|
normal! 08|
normal! 08|
normal! 016|
normal! 016|
normal! 016|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|
  exe 'normal! ' . s:c . '|zs' . 8 . '|'
  normal! 08|
  exe 'normal! ' . s:c . '|zs' . 8 . '|'
  normal! 08|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|
  exe 'normal! ' . s:c . '|zs' . 16 . '|'
  normal! 016|