view runtime/ftplugin/logtalk.vim @ 31608:8e965e5a46c9 v9.0.1136

patch 9.0.1136: memory leak when getting class member type from expr Commit: https://github.com/vim/vim/commit/e83c133eb900898c39e6935a5d569308ff882342 Author: Bram Moolenaar <Bram@vim.org> Date: Mon Jan 2 21:04:04 2023 +0000 patch 9.0.1136: memory leak when getting class member type from expr Problem: Memory leak when getting class member type from expr. Solution: Clear the expression result.
author Bram Moolenaar <Bram@vim.org>
date Mon, 02 Jan 2023 22:15:03 +0100
parents 5c5908e81e93
children
line wrap: on
line source

" Logtalk filetype plugin file
" Language:         Logtalk
" Maintainer:       Paulo Moura <pmoura@logtalk.org>
" Latest Revision:  2018-08-03

if exists("b:did_ftplugin")
  finish
endif
let b:did_ftplugin = 1

let b:undo_ftplugin = "setl ts< sw< fdm< fdc< ai< dict<"

setlocal ts=4
setlocal sw=4
setlocal fdm=syntax
setlocal fdn=10
setlocal fdc=2
setlocal autoindent
setlocal dict=$VIMRUNTIME/ftplugin/logtalk.dict