Mercurial > vim
comparison runtime/ftplugin/verilog.vim @ 12254:8d76a56861ec
Update runtime files
commit https://github.com/vim/vim/commit/c572da5f67aa5cdbbc127fc6f1d0a42e38468325
Author: Bram Moolenaar <Bram@vim.org>
Date: Sun Aug 27 16:52:01 2017 +0200
Update runtime files
author | Christian Brabandt <cb@256bit.org> |
---|---|
date | Sun, 27 Aug 2017 17:00:05 +0200 |
parents | 7bc41231fbc7 |
children | 8ae680be2a51 |
comparison
equal
deleted
inserted
replaced
12253:c081ba0b6e8d | 12254:8d76a56861ec |
---|---|
1 " Vim filetype plugin file | 1 " Vim filetype plugin file |
2 " Language: Verilog HDL | 2 " Language: Verilog HDL |
3 " Maintainer: Chih-Tsun Huang <cthuang@larc.ee.nthu.edu.tw> | 3 " Maintainer: Chih-Tsun Huang <cthuang@cs.nthu.edu.tw> |
4 " Last Change: Wed Sep 3 15:24:49 CST 2008 | 4 " Last Change: 2017 Aug 25 by Chih-Tsun Huang |
5 " URL: http://larc.ee.nthu.edu.tw/~cthuang/vim/ftplugin/verilog.vim | 5 " URL: http://www.cs.nthu.edu.tw/~cthuang/vim/ftplugin/verilog.vim |
6 " | |
7 " Credits: | |
8 " Suggestions for improvement, bug reports by | |
9 " Shao <shaominghai2005@163.com> | |
6 | 10 |
7 " Only do this when not done yet for this buffer | 11 " Only do this when not done yet for this buffer |
8 if exists("b:did_ftplugin") | 12 if exists("b:did_ftplugin") |
9 finish | 13 finish |
10 endif | 14 endif |
43 let b:match_ignorecase=0 | 47 let b:match_ignorecase=0 |
44 let b:match_words= | 48 let b:match_words= |
45 \ '\<begin\>:\<end\>,' . | 49 \ '\<begin\>:\<end\>,' . |
46 \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' . | 50 \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' . |
47 \ '\<module\>:\<endmodule\>,' . | 51 \ '\<module\>:\<endmodule\>,' . |
48 \ '\<if\>:\<else\>,' . | 52 \ '\<if\>:`\@<!\<else\>,' . |
49 \ '\<function\>:\<endfunction\>,' . | 53 \ '\<function\>:\<endfunction\>,' . |
50 \ '`ifdef\>:`else\>:`endif\>,' . | 54 \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' . |
51 \ '\<task\>:\<endtask\>,' . | 55 \ '\<task\>:\<endtask\>,' . |
52 \ '\<specify\>:\<endspecify\>' | 56 \ '\<specify\>:\<endspecify\>,' . |
57 \ '\<config\>:\<endconfig\>,' . | |
58 \ '\<generate\>:\<endgenerate\>,' . | |
59 \ '\<fork\>:\<join\>,' . | |
60 \ '\<primitive\>:\<endprimitive\>,' . | |
61 \ '\<table\>:\<endtable\>' | |
53 endif | 62 endif |
54 | 63 |
55 " Reset 'cpoptions' back to the user's setting | 64 " Reset 'cpoptions' back to the user's setting |
56 let &cpo = s:cpo_save | 65 let &cpo = s:cpo_save |
57 unlet s:cpo_save | 66 unlet s:cpo_save |