comparison runtime/ftplugin/verilog.vim @ 2034:7bc41231fbc7

Update runtime files.
author Bram Moolenaar <bram@zimbu.org>
date Wed, 06 Jan 2010 20:54:52 +0100
parents b933657f7c9d
children 8d76a56861ec
comparison
equal deleted inserted replaced
2033:de5a43c5eedc 2034:7bc41231fbc7
1 " Vim filetype plugin file 1 " Vim filetype plugin file
2 " Language: Verilog HDL 2 " Language: Verilog HDL
3 " Maintainer: Chih-Tsun Huang <cthuang@larc.ee.nthu.edu.tw> 3 " Maintainer: Chih-Tsun Huang <cthuang@larc.ee.nthu.edu.tw>
4 " Last Change: Mon Sep 5 11:05:54 CST 2005 and 2006 April 30 4 " Last Change: Wed Sep 3 15:24:49 CST 2008
5 " URL: http://larc.ee.nthu.edu.tw/~cthuang/vim/ftplugin/verilog.vim 5 " URL: http://larc.ee.nthu.edu.tw/~cthuang/vim/ftplugin/verilog.vim
6 6
7 " Only do this when not done yet for this buffer 7 " Only do this when not done yet for this buffer
8 if exists("b:did_ftplugin") 8 if exists("b:did_ftplugin")
9 finish 9 finish
10 endif 10 endif
11 11
12 " Don't load another plugin for this buffer 12 " Don't load another plugin for this buffer
13 let b:did_ftplugin = 1 13 let b:did_ftplugin = 1
14
15 " Set 'cpoptions' to allow line continuations
16 let s:cpo_save = &cpo
17 set cpo&vim
14 18
15 " Undo the plugin effect 19 " Undo the plugin effect
16 let b:undo_ftplugin = "setlocal fo< com< tw<" 20 let b:undo_ftplugin = "setlocal fo< com< tw<"
17 \ . "| unlet! b:browsefilter b:match_ignorecase b:match_words" 21 \ . "| unlet! b:browsefilter b:match_ignorecase b:match_words"
18 22
25 29
26 " Format comments to be up to 78 characters long 30 " Format comments to be up to 78 characters long
27 if &textwidth == 0 31 if &textwidth == 0
28 setlocal tw=78 32 setlocal tw=78
29 endif 33 endif
30
31 set cpo-=C
32 34
33 " Win32 can filter files in the browse dialog 35 " Win32 can filter files in the browse dialog
34 if has("gui_win32") && !exists("b:browsefilter") 36 if has("gui_win32") && !exists("b:browsefilter")
35 let b:browsefilter = "Verilog Source Files (*.v)\t*.v\n" . 37 let b:browsefilter = "Verilog Source Files (*.v)\t*.v\n" .
36 \ "All Files (*.*)\t*.*\n" 38 \ "All Files (*.*)\t*.*\n"
47 \ '\<function\>:\<endfunction\>,' . 49 \ '\<function\>:\<endfunction\>,' .
48 \ '`ifdef\>:`else\>:`endif\>,' . 50 \ '`ifdef\>:`else\>:`endif\>,' .
49 \ '\<task\>:\<endtask\>,' . 51 \ '\<task\>:\<endtask\>,' .
50 \ '\<specify\>:\<endspecify\>' 52 \ '\<specify\>:\<endspecify\>'
51 endif 53 endif
54
55 " Reset 'cpoptions' back to the user's setting
56 let &cpo = s:cpo_save
57 unlet s:cpo_save