comparison runtime/indent/prolog.vim @ 28379:6dd88e45d47d

Update runtime files Commit: https://github.com/vim/vim/commit/cbaff5e06ec525d31dc44093125c42029e01d508 Author: Bram Moolenaar <Bram@vim.org> Date: Fri Apr 8 17:45:08 2022 +0100 Update runtime files
author Bram Moolenaar <Bram@vim.org>
date Fri, 08 Apr 2022 19:00:04 +0200
parents 9c221ad9634a
children
comparison
equal deleted inserted replaced
28378:b8d39e361293 28379:6dd88e45d47d
2 " Language: Prolog 2 " Language: Prolog
3 " Maintainer: Gergely Kontra <kgergely@mcl.hu> (Invalid email address) 3 " Maintainer: Gergely Kontra <kgergely@mcl.hu> (Invalid email address)
4 " Doug Kearns <dougkearns@gmail.com> 4 " Doug Kearns <dougkearns@gmail.com>
5 " Revised on: 2002.02.18. 23:34:05 5 " Revised on: 2002.02.18. 23:34:05
6 " Last change by: Takuya Fujiwara, 2018 Sep 23 6 " Last change by: Takuya Fujiwara, 2018 Sep 23
7 " 2022 April: b:undo_indent added by Doug Kearns
7 8
8 " TODO: 9 " TODO:
9 " checking with respect to syntax highlighting 10 " checking with respect to syntax highlighting
10 " ignoring multiline comments 11 " ignoring multiline comments
11 " detecting multiline strings 12 " detecting multiline strings
18 let b:did_indent = 1 19 let b:did_indent = 1
19 20
20 setlocal indentexpr=GetPrologIndent() 21 setlocal indentexpr=GetPrologIndent()
21 setlocal indentkeys-=:,0# 22 setlocal indentkeys-=:,0#
22 setlocal indentkeys+=0%,-,0;,>,0) 23 setlocal indentkeys+=0%,-,0;,>,0)
24
25 let b:undo_indent = "setl inde< indk<"
23 26
24 " Only define the function once. 27 " Only define the function once.
25 "if exists("*GetPrologIndent") 28 "if exists("*GetPrologIndent")
26 " finish 29 " finish
27 "endif 30 "endif