comparison runtime/syntax/systemverilog.vim @ 10048:43efa4f5a8ea

commit https://github.com/vim/vim/commit/89bcfda6834aba724d12554a34b9ed49f5789fd5 Author: Bram Moolenaar <Bram@vim.org> Date: Tue Aug 30 23:26:57 2016 +0200 Updated runtime files. Remove version checks for Vim older than 6.0.
author Christian Brabandt <cb@256bit.org>
date Tue, 30 Aug 2016 23:30:09 +0200
parents 1dea14d4c738
children 46763b01cd9a
comparison
equal deleted inserted replaced
10047:a62862410ca1 10048:43efa4f5a8ea
1 " Vim syntax file 1 " Vim syntax file
2 " Language: SystemVerilog 2 " Language: SystemVerilog
3 " Maintainer: kocha <kocha.lsifrontend@gmail.com> 3 " Maintainer: kocha <kocha.lsifrontend@gmail.com>
4 " Last Change: 12-Aug-2013. 4 " Last Change: 12-Aug-2013.
5 5
6 " For version 5.x: Clear all syntax items 6 " quit when a syntax file was already loaded
7 " For version 6.x: Quit when a syntax file was already loaded 7 if exists("b:current_syntax")
8 if version < 600
9 syntax clear
10 elseif exists("b:current_syntax")
11 finish 8 finish
12 endif 9 endif
13 10
14 " Read in Verilog syntax files 11 " Read in Verilog syntax files
15 if version < 600 12 runtime! syntax/verilog.vim
16 so <sfile>:p:h/verilog.vim 13 unlet b:current_syntax
17 else
18 runtime! syntax/verilog.vim
19 unlet b:current_syntax
20 endif
21 14
22 " IEEE1800-2005 15 " IEEE1800-2005
23 syn keyword systemverilogStatement always_comb always_ff always_latch 16 syn keyword systemverilogStatement always_comb always_ff always_latch
24 syn keyword systemverilogStatement class endclass new 17 syn keyword systemverilogStatement class endclass new
25 syn keyword systemverilogStatement virtual local const protected 18 syn keyword systemverilogStatement virtual local const protected
74 " IEEE1800-2012 add 67 " IEEE1800-2012 add
75 syn keyword systemverilogStatement implements 68 syn keyword systemverilogStatement implements
76 syn keyword systemverilogStatement interconnect soft nettype 69 syn keyword systemverilogStatement interconnect soft nettype
77 70
78 " Define the default highlighting. 71 " Define the default highlighting.
79 if version >= 508 || !exists("did_systemverilog_syn_inits") 72 command -nargs=+ HiLink hi def link <args>
80 if version < 508
81 let did_systemverilog_syn_inits = 1
82 command -nargs=+ HiLink hi link <args>
83 else
84 command -nargs=+ HiLink hi def link <args>
85 endif
86 73
87 " The default highlighting. 74 " The default highlighting.
88 HiLink systemverilogStatement Statement 75 HiLink systemverilogStatement Statement
89 HiLink systemverilogTypeDef TypeDef 76 HiLink systemverilogTypeDef TypeDef
90 HiLink systemverilogConditional Conditional 77 HiLink systemverilogConditional Conditional
91 HiLink systemverilogRepeat Repeat 78 HiLink systemverilogRepeat Repeat
92 HiLink systemverilogLabel Label 79 HiLink systemverilogLabel Label
93 HiLink systemverilogGlobal Define 80 HiLink systemverilogGlobal Define
94 HiLink systemverilogNumber Number 81 HiLink systemverilogNumber Number
95 82
96 delcommand HiLink 83 delcommand HiLink
97 endif
98 84
99 let b:current_syntax = "systemverilog" 85 let b:current_syntax = "systemverilog"
100 86
101 " vim: ts=8 87 " vim: ts=8