Mercurial > vim
annotate runtime/ftplugin/verilog.vim @ 34605:6d3a5ef458cd v9.1.0194
patch 9.1.0194: gcc complains about uninitialized var
Commit: https://github.com/vim/vim/commit/9eb236f455df75af858a37a3d98f190c977deaf4
Author: Christian Brabandt <cb@256bit.org>
Date: Thu Mar 21 20:12:59 2024 +0100
patch 9.1.0194: gcc complains about uninitialized var
Problem: gcc complains about uninitialized var
(Tony Mechelynck)
Solution: initialize to NULL
Signed-off-by: Christian Brabandt <cb@256bit.org>
author | Christian Brabandt <cb@256bit.org> |
---|---|
date | Thu, 21 Mar 2024 20:15:02 +0100 |
parents | 8ae680be2a51 |
children | f20917fa87fb |
rev | line source |
---|---|
7 | 1 " Vim filetype plugin file |
2 " Language: Verilog HDL | |
12254 | 3 " Maintainer: Chih-Tsun Huang <cthuang@cs.nthu.edu.tw> |
4 " Last Change: 2017 Aug 25 by Chih-Tsun Huang | |
34134
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
5 " 2024 Jan 14 by Vim Project (browsefilter) |
12254 | 6 " URL: http://www.cs.nthu.edu.tw/~cthuang/vim/ftplugin/verilog.vim |
7 " | |
8 " Credits: | |
9 " Suggestions for improvement, bug reports by | |
10 " Shao <shaominghai2005@163.com> | |
7 | 11 |
12 " Only do this when not done yet for this buffer | |
13 if exists("b:did_ftplugin") | |
14 finish | |
15 endif | |
16 | |
17 " Don't load another plugin for this buffer | |
18 let b:did_ftplugin = 1 | |
19 | |
2034 | 20 " Set 'cpoptions' to allow line continuations |
21 let s:cpo_save = &cpo | |
22 set cpo&vim | |
23 | |
504 | 24 " Undo the plugin effect |
25 let b:undo_ftplugin = "setlocal fo< com< tw<" | |
857 | 26 \ . "| unlet! b:browsefilter b:match_ignorecase b:match_words" |
504 | 27 |
7 | 28 " Set 'formatoptions' to break comment lines but not other lines, |
29 " and insert the comment leader when hitting <CR> or using "o". | |
30 setlocal fo-=t fo+=croqlm1 | |
31 | |
32 " Set 'comments' to format dashed lists in comments. | |
33 setlocal comments=sO:*\ -,mO:*\ \ ,exO:*/,s1:/*,mb:*,ex:*/,:// | |
34 | |
35 " Format comments to be up to 78 characters long | |
504 | 36 if &textwidth == 0 |
37 setlocal tw=78 | |
38 endif | |
7 | 39 |
34134
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
40 " Win32 and GTK can filter files in the browse dialog |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
41 if (has("gui_win32") || has("gui_gtk")) && !exists("b:browsefilter") |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
42 let b:browsefilter = "Verilog Source Files (*.v)\t*.v\n" |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
43 if has("win32") |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
44 let b:browsefilter .= "All Files (*.*)\t*\n" |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
45 else |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
46 let b:browsefilter .= "All Files (*)\t*\n" |
8ae680be2a51
runtime(ftplugin): Use "*" browsefilter pattern to match "All Files"
Christian Brabandt <cb@256bit.org>
parents:
12254
diff
changeset
|
47 endif |
7 | 48 endif |
49 | |
50 " Let the matchit plugin know what items can be matched. | |
51 if exists("loaded_matchit") | |
52 let b:match_ignorecase=0 | |
53 let b:match_words= | |
54 \ '\<begin\>:\<end\>,' . | |
55 \ '\<case\>\|\<casex\>\|\<casez\>:\<endcase\>,' . | |
56 \ '\<module\>:\<endmodule\>,' . | |
12254 | 57 \ '\<if\>:`\@<!\<else\>,' . |
7 | 58 \ '\<function\>:\<endfunction\>,' . |
12254 | 59 \ '`ifn\?def\>:`elsif\>:`else\>:`endif\>,' . |
7 | 60 \ '\<task\>:\<endtask\>,' . |
12254 | 61 \ '\<specify\>:\<endspecify\>,' . |
62 \ '\<config\>:\<endconfig\>,' . | |
63 \ '\<generate\>:\<endgenerate\>,' . | |
64 \ '\<fork\>:\<join\>,' . | |
65 \ '\<primitive\>:\<endprimitive\>,' . | |
66 \ '\<table\>:\<endtable\>' | |
7 | 67 endif |
2034 | 68 |
69 " Reset 'cpoptions' back to the user's setting | |
70 let &cpo = s:cpo_save | |
71 unlet s:cpo_save |