Mercurial > vim
annotate runtime/syntax/systemverilog.vim @ 9636:ccbb8e393d80 v7.4.2095
commit https://github.com/vim/vim/commit/61c04493b00f85d0b97436260a9ef9ab82143b78
Author: Bram Moolenaar <Bram@vim.org>
Date: Sat Jul 23 15:35:35 2016 +0200
patch 7.4.2095
Problem: Man test fails when run with the GUI.
Solution: Adjust for different behavior of GUI. Add assert_inrange().
author | Christian Brabandt <cb@256bit.org> |
---|---|
date | Sat, 23 Jul 2016 15:45:05 +0200 |
parents | 1dea14d4c738 |
children | 43efa4f5a8ea |
rev | line source |
---|---|
5663
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
1 " Vim syntax file |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
2 " Language: SystemVerilog |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
3 " Maintainer: kocha <kocha.lsifrontend@gmail.com> |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
4 " Last Change: 12-Aug-2013. |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
5 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
6 " For version 5.x: Clear all syntax items |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
7 " For version 6.x: Quit when a syntax file was already loaded |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
8 if version < 600 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
9 syntax clear |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
10 elseif exists("b:current_syntax") |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
11 finish |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
12 endif |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
13 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
14 " Read in Verilog syntax files |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
15 if version < 600 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
16 so <sfile>:p:h/verilog.vim |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
17 else |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
18 runtime! syntax/verilog.vim |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
19 unlet b:current_syntax |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
20 endif |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
21 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
22 " IEEE1800-2005 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
23 syn keyword systemverilogStatement always_comb always_ff always_latch |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
24 syn keyword systemverilogStatement class endclass new |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
25 syn keyword systemverilogStatement virtual local const protected |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
26 syn keyword systemverilogStatement package endpackage |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
27 syn keyword systemverilogStatement rand randc constraint randomize |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
28 syn keyword systemverilogStatement with inside dist |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
29 syn keyword systemverilogStatement sequence endsequence randsequence |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
30 syn keyword systemverilogStatement srandom |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
31 syn keyword systemverilogStatement logic bit byte |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
32 syn keyword systemverilogStatement int longint shortint |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
33 syn keyword systemverilogStatement struct packed |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
34 syn keyword systemverilogStatement final |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
35 syn keyword systemverilogStatement import export |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
36 syn keyword systemverilogStatement context pure |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
37 syn keyword systemverilogStatement void shortreal chandle string |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
38 syn keyword systemverilogStatement clocking endclocking iff |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
39 syn keyword systemverilogStatement interface endinterface modport |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
40 syn keyword systemverilogStatement cover covergroup coverpoint endgroup |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
41 syn keyword systemverilogStatement property endproperty |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
42 syn keyword systemverilogStatement program endprogram |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
43 syn keyword systemverilogStatement bins binsof illegal_bins ignore_bins |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
44 syn keyword systemverilogStatement alias matches solve static assert |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
45 syn keyword systemverilogStatement assume super before expect bind |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
46 syn keyword systemverilogStatement extends null tagged extern this |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
47 syn keyword systemverilogStatement first_match throughout timeprecision |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
48 syn keyword systemverilogStatement timeunit type union |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
49 syn keyword systemverilogStatement uwire var cross ref wait_order intersect |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
50 syn keyword systemverilogStatement wildcard within |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
51 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
52 syn keyword systemverilogTypeDef typedef enum |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
53 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
54 syn keyword systemverilogConditional randcase |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
55 syn keyword systemverilogConditional unique priority |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
56 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
57 syn keyword systemverilogRepeat return break continue |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
58 syn keyword systemverilogRepeat do foreach |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
59 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
60 syn keyword systemverilogLabel join_any join_none forkjoin |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
61 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
62 " IEEE1800-2009 add |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
63 syn keyword systemverilogStatement checker endchecker |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
64 syn keyword systemverilogStatement accept_on reject_on |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
65 syn keyword systemverilogStatement sync_accept_on sync_reject_on |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
66 syn keyword systemverilogStatement eventually nexttime until until_with |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
67 syn keyword systemverilogStatement s_always s_eventually s_nexttime s_until s_until_with |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
68 syn keyword systemverilogStatement let untyped |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
69 syn keyword systemverilogStatement strong weak |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
70 syn keyword systemverilogStatement restrict global implies |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
71 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
72 syn keyword systemverilogConditional unique0 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
73 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
74 " IEEE1800-2012 add |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
75 syn keyword systemverilogStatement implements |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
76 syn keyword systemverilogStatement interconnect soft nettype |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
77 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
78 " Define the default highlighting. |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
79 if version >= 508 || !exists("did_systemverilog_syn_inits") |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
80 if version < 508 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
81 let did_systemverilog_syn_inits = 1 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
82 command -nargs=+ HiLink hi link <args> |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
83 else |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
84 command -nargs=+ HiLink hi def link <args> |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
85 endif |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
86 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
87 " The default highlighting. |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
88 HiLink systemverilogStatement Statement |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
89 HiLink systemverilogTypeDef TypeDef |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
90 HiLink systemverilogConditional Conditional |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
91 HiLink systemverilogRepeat Repeat |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
92 HiLink systemverilogLabel Label |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
93 HiLink systemverilogGlobal Define |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
94 HiLink systemverilogNumber Number |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
95 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
96 delcommand HiLink |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
97 endif |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
98 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
99 let b:current_syntax = "systemverilog" |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
100 |
1dea14d4c738
Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff
changeset
|
101 " vim: ts=8 |