annotate runtime/ftplugin/systemverilog.vim @ 19830:6500dcaf8e1a v8.2.0471

patch 8.2.0471: missing change to compile_list() Commit: https://github.com/vim/vim/commit/a30590d3e78aed3f6039edc642fb7532c40ea54e Author: Bram Moolenaar <Bram@vim.org> Date: Sat Mar 28 22:06:23 2020 +0100 patch 8.2.0471: missing change to compile_list() Problem: Missing change to compile_list(). Solution: Add error message.
author Bram Moolenaar <Bram@vim.org>
date Sat, 28 Mar 2020 22:15:03 +0100
parents 1dea14d4c738
children 840665e74421
Ignore whitespace changes - Everywhere: Within whitespace: At end of lines:
rev   line source
5663
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
1 " Vim filetype plugin file
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
2 " Language: SystemVerilog
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
3 " Maintainer: kocha <kocha.lsifrontend@gmail.com>
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
4 " Last Change: 12-Aug-2013.
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
5
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
6 if exists("b:did_ftplugin")
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
7 finish
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
8 endif
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
9
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
10 " Behaves just like Verilog
1dea14d4c738 Update runtime files. Add support for systemverilog.
Bram Moolenaar <bram@vim.org>
parents:
diff changeset
11 runtime! ftplugin/verilog.vim